登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書

『簡體書』“集成电路设计与集成系统”丛书--集成电路技术基础

書城自編碼: 4016932
分類:簡體書→大陸圖書→工業技術電子/通信
作者: 张颖、曹海燕、宋文斌、冀然 编著
國際書號(ISBN): 9787122453761
出版社: 化学工业出版社
出版日期: 2024-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 102.4

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
白酒风云录 中国白酒企业史(1949-2024):清香风起
《 白酒风云录 中国白酒企业史(1949-2024):清香风起 》

售價:HK$ 101.2
宋代社会经济史论集(增订版)(上下册)
《 宋代社会经济史论集(增订版)(上下册) 》

售價:HK$ 331.2
博弈论与社会契约(第1卷):公平博弈
《 博弈论与社会契约(第1卷):公平博弈 》

售價:HK$ 124.2
海外中国研究·政治仪式与近代中国国民身份建构(1911—1929)
《 海外中国研究·政治仪式与近代中国国民身份建构(1911—1929) 》

售價:HK$ 101.2
信息、生命与物理学
《 信息、生命与物理学 》

售價:HK$ 90.9
士仕之间:汉代士人与政治
《 士仕之间:汉代士人与政治 》

售價:HK$ 78.2
语义学(上卷)(语言学及应用语言学名著译丛)
《 语义学(上卷)(语言学及应用语言学名著译丛) 》

售價:HK$ 109.3
Redis 高手心法
《 Redis 高手心法 》

售價:HK$ 115.0

 

編輯推薦:
1.内容全面——既见“树木”,又见“森林”。本书致力于全面介绍集成电路知识,从半导体物理基础到集成电路设计、制造、封装,全面阐述影响信息时代发展的微电子学技术。2.实践与理论结合。将实践案例引入对应章节,通过流程整合、模拟仿真等方式,帮助读者将相关知识融会贯通。通过EDA工具对CMOS电路设计与制造实例、工艺进行模拟,帮助读者加深对于工艺的理解和掌握。3.注重基础,兼顾拓展,与时俱进,指引方向。本书以传统硅基为基础,介绍材料、器件、工艺封装等内容,同时针对热门新兴技术进行拓展,总结新型材料、新型工艺、新型封装,并介绍现今“卡脖子”设备供应链以及集成电路技术与其他学科交叉融合等与时俱进的内容。
內容簡介:
本书讲解了集成电路的基础理论,阐述了集成电路设计、制备工艺、封装以及测试方法,介绍了新型材料、新型工艺、新型封装等先进知识。主要内容包括:集成电路技术基础、半导体物理、半导体器件、集成电路制造工艺技术、集成电路设计、集成电路封测技术、半导体技术发展。本书注重可读性和易学性,借助实例将各部分知识整合,通俗易懂,深入浅出,可供集成电路、芯片、半导体及相关行业的工程技术人员及入门级读者使用,也可作为教材供高等院校相关专业师生学习参考。
目錄
第1章 星火燎原——集成电路技术概述 001
1.1 集成电路技术的发展历程 002
1.2 集成电路基本概念 007
1.2.1 集成电路关键词 007
1.2.2 集成电路分类 008
1.3 集成电路技术的生命力 010
1.3.1 半导体材料技术的突飞 010
1.3.2 集成电路工艺技术的猛进 014
1.3.3 集成电路技术向其他学科的渗透 014
习题 016
拓展学习 016
第2章 电子世界的基石——半导体物理 017
2.1 半导体材料的构成 018
2.2 半导体材料的晶体结构 019
2.2.1 晶体结构概述 019
2.2.2 单晶硅的晶体结构 020
2.2.3 晶向及晶面 022
2.3 本征半导体 024
2.4 能级及能带 025
2.4.1 量子态和孤立原子的能级 025
2.4.2 能带的形成 026
2.4.3 固体材料的能带 028
2.5 本征载流子浓度 029
2.6 杂质半导体及载流子浓度 031
2.6.1 杂质半导体 031
2.6.2 杂质半导体载流子浓度 034
2.6.3 杂质补偿 036
2.7 载流子的输运现象 038
2.7.1 热运动 038
2.7.2 漂移运动 038
2.7.3 扩散运动 042
2.7.4 电流密度方程与爱因斯坦关系式 043
习题 045
拓展学习 045
第3章 集成电路的积木——半导体器件 046
3.1 PN结 047
3.1.1 热平衡PN结 047
3.1.2 平衡费米能级和内建电势 048
3.1.3 理想PN结的伏安特性 049
3.1.4 PN结的击穿 053
3.1.5 PN结的电容效应 054
3.2 双极晶体管 055
3.2.1 晶体管的结构及类型 055
3.2.2 晶体管内的载流子输运 056
3.2.3 晶体管的电流放大系数 057
3.2.4 晶体管的直流特性曲线 058
3.2.5 晶体管的反向漏电流和反向击穿 060
3.2.6 晶体管的频率特性 060
3.3 MOSFET 061
3.3.1 MOSFET的基本结构 061
3.3.2 MIS结构及其特性 062
3.3.3 MOSFET的阈值电压 065
3.3.4 MOSFET的直流特性 065
3.3.5 MOSFET的分类 068
习题 069
拓展学习 069
第4章 “高楼大厦”平地起——集成电路制造工艺技术 070
4.1 集成电路制造工艺特点 071
4.2 基本单步工艺技术 073
4.2.1 拍照技术——光刻 073
4.2.2 沟槽技术——刻蚀 076
4.2.3 掺杂技术——扩散与离子注入 077
4.2.4 薄膜技术——淀积与氧化 080
4.2.5 抛光技术——化学机械抛光 082
4.2.6 新型微纳加工技术——纳米压印 085
4.3 工艺集成技术 089
4.3.1 BJT工艺流程 089
4.3.2 CMOS工艺流程 091
4.3.3 VDMOS工艺流程 100
4.4 基于EDA工具的工艺模拟仿真 101
4.4.1 工艺模拟仿真工具 101
4.4.2 MOSFET工艺模拟实例 102
习题 113
拓展学习 113
第5章 想法照进现实——集成电路设计 114
5.1 集成电路概述 115
5.2 集成电路设计 117
5.2.1 集成电路按功能的分类 117
5.2.2 模拟集成电路设计 119
5.2.3 数字集成电路设计 121
5.3 集成电路设计工具EDA 122
5.3.1 全球EDA格局 123
5.3.2 我国EDA发展 124
5.4 基于EDA工具的CMOS反相器的设计实例 126
5.4.1 CMOS反相器原理基本介绍 126
5.4.2 反相器电路设计 126
5.4.3 反相器电路仿真 129
5.4.4 反相器版图绘制 131
5.4.5 反相器版图验证 135
习题 141
拓展学习 141
第6章 披袍擐甲——集成电路封测技术 142
6.1 封装概述 143
6.1.1 封装的概念 143
6.1.2 封装的作用及要求 143
6.1.3 封装的分类 144
6.2 芯片互连技术 145
6.2.1 引线键合 145
6.2.2 载带自动焊 146
6.2.3 倒装焊 146
6.3 典型封装技术 147
6.3.1 DIP、SIP和PGA——插孔式封装 147
6.3.2 QFP、SOP和BGA——表面贴装式封装 147
6.3.3 CSP——芯片级封装 148
6.4 封装工艺流程 149
6.5 封装工艺实例 152
6.5.1 DIP实例 152
6.5.2 BGA实例 156
6.6 3D封装技术 159
6.7 集成电路测试技术 162
6.7.1 可靠性测试 162
6.7.2 电学特性测试 165
6.7.3 测试技术趋势 167
习题 168
拓展学习 168
第7章 在路上——半导体技术发展 169
7.1 半导体供应链 170
7.1.1 制造设备供应 170
7.1.2 国产制造设备发展 171
7.1.3 材料供应 171
7.2 第三代半导体“弯道超车” 174
7.2.1 SiC功率电子器件 174
7.2.2 GaN功率电子器件 176
7.3 MEMS技术 178
7.3.1 MEMS概述 178
7.3.2 MEMS发展 179
7.3.3 MEMS工艺 180
7.3.4 MEMS典型产品实例 181
习题 184
拓展学习 184
参考文献 185
內容試閱
集成电路技术是信息技术的基础,被誉为现代电子工业的心脏和高科技的原动力。集成电路技术对信息时代产生巨大的影响,如今飞速发展的信息技术和人工智能技术都需要集成电路的支撑。目前,集成电路产业发展迅速,规模不断扩大,具有广阔的市场前景。
本书从“一粒沙子”开始讲起,从硅基半导体材料讲到基本器件,让读者对集成电路的基础——器件的结构与作用有基本认识;以集成电路的产出为主线,阐述集成电路设计、制备工艺、封装以及测试方法;根据行业发展情况,介绍新型材料、工艺,多学科融合等先进知识。各章主要内容:第1章介绍集成电路基本概念及发展史;第2、3章对作为集成电路基础的半导体物理及器件物理基本理论进行阐述;第4章介绍集成电路工艺中各单步工艺的基本原理及流程,并通过EDA工具模拟MOSFET双阱工艺实例,完成工艺整合;第5章介绍集成电路设计基本理论与流程,并用EDA工具完成CMOS反相器的电路设计、功能仿真及版图设计和验证实例;第6章介绍集成电路封测技术的基本概念、分类及流程,并运用EDA工具完成基础DIP及芯片级BGA流程的应用实例;第7章介绍集成电路发展路上的“卡脖子”设备问题及“弯道超车”的第三代半导体的发展及前沿应用。
本书具有以下特点。
1.内容全面——既见“树木”,又见“森林”
集成电路是一门综合性很强的技术,本书致力于全面介绍集成电路知识,从半导体物理基础到集成电路设计、制造、封装,全面阐述影响信息时代发展的微电子学技术,帮助读者对微电子技术能有全面的掌握和理解。
2.实践与理论结合——了解“积木”,学会“搭建”
为提高可读性,本书注重将实践案例引入对应章节,通过流程整合、模拟仿真等方式,帮助读者将相关知识融会贯通。通过EDA工具对CMOS电路设计与制造实例、工艺进行模拟,帮助读者加深对于工艺的理解和掌握。如果把各知识的基础理论比作形状各异的积木,那么实践案例就是用积木搭建起来的建筑。通过实践过程理解理论知识的关联与作用,从而实现理论与实践不脱节,有的放矢,学以致用。
3.注重基础,兼顾拓展——与时俱进,指引方向
本书以传统硅基为基础,介绍材料、器件、工艺封装等内容,同时针对热门新兴技术进行拓展,总结新材料、新工艺、新封装形式,并介绍现今“半导体制造”设备供应链以及集成电路技术与其他学科交叉融合等与时俱进的内容。
本书致力于全面介绍集成电路技术的“前世今生”,注重可读性和易学性,用通俗易懂的语言,借助实例将各部分知识整合,深入浅出地介绍集成电路技术的主要知识。本书可供集成电路、芯片、半导体及相关行业的工程技术人员及入门级读者使用,也可作为教材供高等院校相关专业师生学习参考。
本书由张颖、曹海燕、宋文斌、冀然编著,特别感谢青岛天仁微纳科技有限责任公司提供了行业前沿发展情况和应用实例。
由于作者水平所限,不足之处在所难免,敬请广大读者批评指正。
编著者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.