登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書

『簡體書』数字电路与逻辑设计

書城自編碼: 2845218
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 张雪平、赵娟、曾菊容、杨欣、邹云峰
國際書號(ISBN): 9787302438564
出版社: 清华大学出版社
出版日期: 2016-06-01
版次: 1 印次: 1
頁數/字數: 372/561000
書度/開本: 16开 釘裝: 平装

售價:HK$ 69.8

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
Procreate插画手绘从新手到高手
《 Procreate插画手绘从新手到高手 》

售價:HK$ 105.6
山河不足重,重在遇知己
《 山河不足重,重在遇知己 》

售價:HK$ 54.0
独自走过悲喜
《 独自走过悲喜 》

售價:HK$ 81.6
永不停步:玛格丽特·阿特伍德传
《 永不停步:玛格丽特·阿特伍德传 》

售價:HK$ 94.8
假努力:方向不对,一切白费
《 假努力:方向不对,一切白费 》

售價:HK$ 71.8
北京三万里
《 北京三万里 》

售價:HK$ 93.6
争吵的恋人:我们为什么相爱,又为什么争吵
《 争吵的恋人:我们为什么相爱,又为什么争吵 》

售價:HK$ 70.8
秘史:英国情报机构的崛起
《 秘史:英国情报机构的崛起 》

售價:HK$ 81.6

 

編輯推薦:
本书具有以下几个特点。
1 突出方法,适应发展。本书体现了近期教改成果,重点介绍通用集成电路的基本原理及特性,略去其内部复杂电路及分析,侧重器件的逻辑功能及输入、输出电气特性,增强了CPLD、FPGA等新型可编程逻辑器件的内容,使学生以此进行实际工程设计与应用的初步训练。
2 书中引入了EDA技术的基础知识,在介绍VHDL语言和Multisim 10.0软件的基础上,对主要章节的电路采用VHDL语言描述并用Multisim 10.0软件仿真,使学生能够在微机上对典型电路进行功能验证,加深对数字电路的认识,也为后续数字系统设计课程的学习打下必要的基础。考虑到不同学校的需要,这部分作为选学内容,以"*"号标出。
3 本书以"神奇的集成电路"为主线,用读物的形式在各章*后分别介绍电子管、晶体管溯源,集成电路的发明,硅谷的故事,半导体的奥秘,巧夺天工的集成电路制造工艺,用计算机设计集成电路,腾飞的中国芯,微电子技术新领域,未来畅想曲等内容供学生选读,让学生了解相关知识的背景。
4 为便于读者加深理解,书中针对重点、难点内容都设有相应的例题,每章均安排有小结、思考题、习题、读物,力求做到
內容簡介:
本书是根据教育部高等学校电子类本科指导性专业规范的要求,结合电类专业人才培养新模式的需求而编写的。本书共分9章,系统地介绍了数字电路的基础知识,包括逻辑代数基础、逻辑门电路、组合逻辑电路、时序逻辑电路、存储器与可编程逻辑器件、脉冲产生和整形电路、数模和模数转换电路、EDA技术基础、数字系统设计基础等。另外,本书还介绍了集成电路的产生、发展过程,当前技术水平,未来发展方向等相关知识的背景,以激发学生的求知欲望。
本书概念清楚,内容先进,体系合理,在系统介绍基础知识的基础上,突出逻辑器件的功能及应用,用VHDL语言描述基本逻辑电路并采用Multisim软件仿真。每章均安排有小结、思考题、习题、读物,力求做到通俗易懂,便于使用。
本书可作为高等院校电气信息类、电子信息类、仪器仪表类及其他相近专业的本科生教材或教学参考书,也可供有关工程技术人员参考。
目錄
目 录
绪论 1
第1章 逻辑代数基础 5
1.1 概述 5
1.2 数制和码制 6
1.2.1 数制 6
1.2.2 几种常用数制之间的转换 8
1.2.3 码制 11
1.2.4 算术运算和逻辑运算 17
1.3 逻辑代数基础 19
1.3.1 基本逻辑运算 19
1.3.2 逻辑代数的基本公式 25
1.3.3 逻辑代数的基本规则 28
1.3.4 逻辑函数的表示方法 30
1.3.5 逻辑函数的公式化简法 35
1.3.6 逻辑函数的卡诺图化简法 38
1.3.7 具有无关项的逻辑函数
及其化简 47
小结 49
思考题 49
习题 50
读物:神奇的集成电路1--电子管、
晶体管溯源 52
第2章 逻辑门电路 60
2.1 概述 60
2.2 逻辑门电路中的开关器件 62
2.2.1 二极管及其开关特性 62
2.2.2 三极管及其开关特性 62
2.2.3 MOS管及其开关特性 63
2.3 分立元件门电路 64
2.3.1 二极管与门和或门 64
2.3.2 三极管非门 66
2.3.3 MOS管非门 66
2.4 TTL门电路 66
2.4.1 TTL反相器的电路结构
和工作原理 66
2.4.2 TTL反相器的动态特性 72
2.4.3 其他类型的TTL门电路 73
2.4.4 TTL数字集成电路系列 78
2.5 CMOS门电路 82
2.5.1 CMOS反相器的电路结构
和工作原理 82
2.5.2 CMOS与非门和或非门 84
2.5.3 CMOS传输门 85
2.5.4 CMOS三态门和漏极开路门 85
2.5.5 CMOS数字集成电路系列 87
小结 88
思考题 88
习题 89
读物:神奇的集成电路2--集成电路的
发明 94
第3章 组合逻辑电路 97
3.1 概述 97
3.2 组合逻辑电路的分析和设计方法 98
3.2.1 组合逻辑电路的分析方法 98
3.2.2 组合逻辑电路的设计方法 101
3.3 常用组合逻辑器件及应用 103
3.3.1 编码器和译码器 104
3.3.2 数据选择器和数据分配器 116
3.3.3 加法器和数值比较器 119
3.3.4 中规模集成电路实现组合
逻辑函数 123
3.4 组合逻辑电路中的竞争与冒险 126
3.4.1 代数法 127
3.4.2 卡诺图法 128
3.4.3 冒险现象的清除
129
小结 130
思考题 131
习题 132
读物:神奇的集成电路3--硅谷的
故事 135
第4章 时序逻辑电路 141
4.1 概述 141
4.1.1 时序逻辑电路的一般模型
和结构特点 141
4.1.2 时序逻辑电路的类型 142
4.2 触发器 142
4.2.1 基本触发器 143
4.2.2 同步触发器 145
4.2.3 边沿触发器 147
4.2.4 触发器的逻辑功能及其描述
方法 149
4.3 时序逻辑电路的分析方法 155
4.3.1 时序逻辑电路状态的描述 155
4.3.2 时序逻辑电路的分析步骤 158
4.3.3 时序逻辑电路的分析举例 159
4.4 时序逻辑电路的设计方法 162
4.4.1 时序逻辑电路的设计步骤 163
4.4.2 时序逻辑电路的设计举例 165
4.5 时序逻辑电路的竞争与冒险 170
4.5.1 触发器的竞争-冒险 171
4.5.2 时序逻辑电路竞争与冒险的
处理方法 173
4.6 常用时序逻辑器件及应用 173
4.6.1 寄存器和移位寄存器 174
4.6.2 计数器 180
4.6.3 顺序脉冲发生器 196
4.6.4 常用时序逻辑器件的应用 199
小结 203
思考题 204
习题 205
读物:神奇的集成电路4--半导体的
奥秘 211
第5章 存储器与可编程逻辑器件 215
5.1 概述 215
5.2 可编程逻辑器件的结构和表示
方法 216
5.2.1 可编程逻辑器件的结构 216
5.2.2 可编程逻辑器件的表示
方法 217
5.3 存储器 218
5.3.1 只读存储器 218
5.3.2 随机存储器 222
5.3.3 存储器的扩展 223
5.4 可编程逻辑器件 224
5.5 可编程逻辑器件的开发流程 225
小结 227
思考题 228
习题 228
读物:神奇的集成电路5--巧夺天工的
集成电路制造工艺
230
第6章 脉冲产生和整形电路 232
6.1 概述 232
6.2 555定时器 233
6.2.1 555定时器的电路结构 233
6.2.2 555定时器的基本功能 234
6.3 施密特触发器 235
6.3.1 555定时器构成的施密特
触发器 235
6.3.2 集成施密特触发器 237
6.3.3 施密特触发器的应用 239
6.4 单稳态触发器 241
6.4.1 555定时器构成的单稳态
触发器 241
6.4.2 集成单稳态触发器 243
6.4.3 单稳态触发器的应用 246
6.5 多谐振荡器 247
6.5.1 555定时器构成的多谐
振荡器 247
6.5.2 石英晶体多谐振荡器 250
6.5.3 多谐振荡器的应用 251
小结 252
思考题 253
习题 253
读物:神奇的集成电路6--用计算机设计
集成电路 257
第7章 数模和模数转换电路 261
7.1 概述 261
7.2 DA转换电路 262
7.2.1 倒T形电阻网络DA
转换器 262
7.2.2 权电流型DA转换器 263
7.2.3 双极性输出DA转换器 266
7.2.4 DA转换器的转换精度
和转换速度 268
7.3 AD转换电路 271
7.3.1 AD转换器的基本原理 271
7.3.2 并联比较型AD转换器 272
7.3.3 反馈比较型AD转换器 274
7.3.4 双积分型AD转换器 276
7.3.5 AD转换器的转换精度
和转换速度 279
小结 280
思考题 280
习题 281
读物:神奇的集成电路7--腾飞的
中国芯 284
*第8章 EDA技术基础 287
8.1 EDA技术简介 287
8.2 Multisim 10.0软件简介 287
8.2.1 Multisim 10.0 287
8.2.2 Multisim 10.0的操作界面 287
8.2.3 Multisim 10.0操作界面的
设置 289
8.2.4 Multisim 10.0绘制电路的
常用操作 294
8.2.5 Multisim 10.0虚拟仪器 301
8.2.6 Multisim 10.0数字电路应用
举例 307
8.3 VHDL语言基础 312
8.3.1 可编程逻辑器件与硬件描述
语言 312
8.3.2 VHDL语言简介
312
8.3.3 VHDL程序基础
313
8.3.4 VHDL数字电路程序设计
实例 322
小结 324
思考题 325
习题 325
读物:神奇的集成电路8--微电子技术
新领域 326
第9章 数字系统设计基础 333
9.1 概述 333
9.2 数字系统设计方法 333
9.2.1 传统设计方法 333
9.2.2 现代设计方法 336
9.3 多路智力竞赛抢答器设计 338
9.3.1 抢答器的功能要求 338
9.3.2 抢答器的组成框图 339
9.3.3 抢答器的电路设计 340
9.4 多功能数字钟设计 344
9.4.1 数字钟的功能要求 344
9.4.2 数字钟电路系统的组成
框图 344
9.4.3 主体电路的设计与装调 345
9.4.4 功能扩展电路设计 348
小结 351
思考题 352
习题 352
读物:神奇的集成电路9--未来
畅想曲 353
附录1 基本逻辑单元图形符号
对照表 359
附录2 常用数字系统名词中英文
对照表 361
参考文献 363
內容試閱
第2章 逻辑门电路
通过本章的学习,要求学生了解常用开关元器件--半导体二极管、三极管与场效应管的开关特性,在此基础上学习分立元件构成的基本逻辑门电路的结构和功能特点,掌握TTL和CMOS两大系列集成门电路的基本组成和基本原理;重点掌握门电路的外部特性和逻辑功能,以及门电路的使用方法和应用领域,为逻辑电路的分析和设计打下基础。
本章先介绍三种常用开关元件,即二极管、三极管和MOS管的开关特性,然后给出利用三种开关元件组成的基本逻辑门电路及其结构特性和逻辑功能,最后介绍广泛使用的TTL门电路和CMOS门电路,重点讨论两种逻辑电路的工作原理和特性,系统讲述数字电路的基本逻辑单元--门电路,包括与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、OD门等的基本特性。
2.1 概述
在数字电路中包含逻辑关系的电路即为逻辑电路。逻辑电路是以二进制为原理、实现数字离散信号的传递、逻辑运算和操作的电路。在数字电路中,所谓"门"就是只能实现基本逻辑关系的最基本的逻辑电路。最基本的逻辑关系有与逻辑、或逻辑和非逻辑,最基本的逻辑门有与门、或门和非门。其中,实现"与"运算的叫与门;实现"或"运算的叫或门;实现"非"运算的叫非门,也称为反相器。除此之外还有与非门、或非门、与或非门、异或门和同或门。这些门都是构成数字集成电路的基本组件。
目前数字系统中广泛使用数字集成电路。数字集成电路是采用外延生长、光刻、氧化物生成及离子注入等技术,将晶体管、电阻、电容等元件和内部电路连线一起做在一块半导体芯片上而制成的具有特定功能的数字逻辑电路或系统。
根据数字集成电路中包含的门电路或元器件数量,可将数字集成电路分为小规模集成SSI电路、中规模集成MSI电路、大规模集成LSI电路、超大规模集成VLSI电路和特大规模集成ULSI电路。小规模集成电路包含的门电路在10个以内,或元器件数不超过100个;中规模集成电路包含的门电路为10~100个,或元器件数为100~1000个;大规模集成电路包含的门电路在100个以上,或元器件数为1000~10000个;超大规模集成电路包含的门电路在1万个以上,或元器件数100000~1000000个;特大规模集成电路的门电路在10万个以上,或元器件数为1000000~10000000个。随着微电子工艺的进步,集成电路的规模越来越大,简单地以集成元件数目来划分类型已经没有多大的意义了,目前暂时以"巨大规模集成电路"来统称集成规模超过1亿个元器件的集成电路。
根据内部有源器件的不同,可以将数字集成电路分为双极型晶体管集成电路又称晶体管-晶体管TTL集成电路和绝缘栅场效应管集成电路又称金属-氧化物-半导体MOS集成电路。如TTL与非门和CMOS与非门的逻辑功能一样,但特性参数有差异。这两个系列的门电路目前市场上都有大量供应,因此分析这两类门电路特性参数的目的是为了在实际使用门电路时,能根据实际要求正确合适地选择和使用它们。其中,TTL集成电路的优点是工作速度快、驱动能力强,缺点是功耗大、集成度较低;MOS集成电路的优点是集成度高、功耗低。
数字集成电路的型号一般由前缀、编号、后缀三大部分组成,前缀代表制造厂商,编号包括产品系列号、器件系列号,后缀一般表示温度等级、封装形式等。
基本逻辑门电路可由晶体管组成,可以使代表两种信号的高、低电平在通过它们之后产生高电平或者低电平输出。如果用高、低电平分别表示二值逻辑的1和0两种逻辑状态,这种表示方法称为"正逻辑";如果用高、低电平分别表示二值逻辑的0和1两种逻辑状态,这种表示方法称为"负逻辑"。在本书中,如果没有特别说明,一律采用正逻辑。不管采用哪种逻辑表示方法,逻辑门的作用都是实现逻辑运算。当然,逻辑门可以组合使用,以实现更为复杂的逻辑运算。
如何将连续的电压量变成分立的两个值呢?可取定一个分界电平,即门槛电平,大于称为高电平,低于则称为低电平。由于在分界处附近电路容易受干扰信号作用而不稳定,因此应该是一个范围而不是一个值。在电路实际工作中,只要能区分出高、低电平,就可以知道它所表示的逻辑状态,故高、低电平都有一个允许的范围。同时,高、低电平也不是无限高或者无限低的,通常高电平不能高于正的电源电压,低电平不能低于地电平,如图2.1所示。正因为如此,数字电路无论是对元器件参数精度的要求还是对供电电源稳定度的要求,都比模拟电路要低一些。或者说这是数字电路比模拟电路相对稳定的原因之一。也可以用互补开关电路来获得高、低输出电平,如图2.2所示。图中开关S1和S2由半导体三极管组成,只要能通过输入信号控制三极管工作在饱和导通和截止两个状态,即可以起到开关的作用。在图2.2所示电路中,两个开关S1和S2的通断虽然受同一个输入信号的控制,但是它们的开关状态相反。若输入信号使S1导通,则S2为截止状态,输出信号为高电平;若输入信号使S1截止,则S2为导通状态,输出信号为低电平。可见,电路中总有一个开关是断开的,所以电路中始终没有同时通过S1和S2的电流,电路功耗非常小。因此,这种互补式开关电路在数字集成电路中得到了广泛应用。
图2.1 高、低电平及正逻辑与负逻辑
图2.2 获得高、低电平的开关电路
2.2 逻辑门电路中的开关器件
从图 2.2 可知,输入电压与输出电压的逻辑关系是非线性的,所以可选择二极管、三极管及场效应管等非线性元件实现基本逻辑功能。对于理想开关,当开关闭合时,开关电阻,开关电压;当开关断开时,,经过开关的电流;电路转换时所用时间。本节讨论二极管、三极管以及场效应管等电子器件的开关特性。
2.2.1 二极管及其开关特性
半导体二极管相当于一个受外加电压控制的开关,当外加一定的正向电压时导通,外加反向电压时截止,其伏安特性如图2.3所示。二极管处于正向导通区时相当于开关的导通状态,二极管处于反向截止区时相当于开关的截止状态。图2.4所示为二极管开关电路。
图2.3 二极管的伏安特性
图2.4 二极管开关电路
假定输入信号的高电平,低电平,二极管VD导通时的正向电阻为,反向内阻为无穷大。当时,VD截止,输出电平;当时,VD导通,,这里假设使用了硅二极管,取其导通电压为0.7V,则。
可见,用输入电平信号的高、低电平可以控制二极管的开关状态,从而在输出端得到相应的高、低电平信号。在上面的分析中,假定VD的反向内阻无穷大,但是从二极管伏安特性曲线中可以看出,加反向电压时会有微弱的漏电流流过二极管,因此开关截止时的电阻不是无限大。另外,正向导通时的电阻也往往不能忽略。
2.2.2 三极管及其开关特性
三极管有三种工作状态:截止、放大和饱和。在数字电路中常常使三极管处在截止或者饱和导通状态。
NPN型双极型晶体三极管的共射极接法如图2.5a所示,其输出特性如图2.5b所示。作为开关使用时,三极管往往工作在截止区和饱和区。
a 共射极接法
b 三极管输出特性
图2.5 三极管电路及输出特性
当输入信号足够大使得三极管处于饱和导通状态时,集射极之间的电压非常小,按硅管计算,一般不超过0.3V,此时输出端电压=,即输出低电平。当输入信号比较小使得三极管处于截止状态时,c、e间截止,集电极电流为零,所以=,即输出高电平。
在电路中选取适当的电压、电阻参数,使三极管处于开关状态。三极管的截止状态是指基极和射极之间的电压小于PN结导通电压0.7V以硅管为例,集电结和发射结都处于反向偏置的状态,此时,;三极管的饱和导通状态是指,而且集电结和发射结都处于正向偏置的状态,此时是三极管的放大倍数;放大状态是介于截止和饱和状态之间的第三种状态,当,即发射结正向偏置,但集电结反向偏置时,,,数字电路中往往不使用此状态。除此之外,在某种特殊情况下,可能出现发射结反向偏置、集电结正向偏置的状态,称为三极管的"倒置"状态,相当于c、e端互换,此时是倒置状态的放大倍数,通常为0.01~0.02,。
2.2.3 MOS管及其开关特性
三极管中参与导电的载流子有两种。少数载流子的漂移运动受到光照、温度及辐射的影响较大,故其温度特性较差。MOS场效应管是一种单极型半导体器件,内部只有一种载流子,即多子进行导电。多子受光照、温度及辐射等外部因素的影响较小,故其温度特性较好。MOS管按其沟道和工作类型可分成四种:N沟道增强型、N沟道耗尽型、P沟道增强型、P沟道耗尽型。这四种类型MOS管的比较见表2.1。
表2.1 四种类型MOS管的比较
MOS管类型
衬底
材料
导电
沟道
开启
电压
夹断
电压
电压极性
标准符号
简化符号
N沟道增强型
P型
N型



续表
MOS管类型
衬底
材料
导电
沟道
开启
电压
夹断
电压
电压极性
标准符号
简化符号
P沟道增强型
N型
P型
-
-
-
N沟道耗尽型
P型
N型
-

P沟道耗尽型
N型
P型

-
用MOS管可以做成开关电路,图2.6a所示为由增强型NMOS管构成的开关电路。源极和衬底接地,漏极通过漏极电阻接电源,输入电压接在栅极和源极之间,输出电压为。输入的低电平为0V,高电平为,开启电压为。
当时,,NMOS管截止,电路中没有电流,此时是高电平;当时,,MOS管导通,,若较大,则。可见,这是一个受输入电压控制的开关电路。其导通电阻较小,截止时电阻无穷大。其开关等效电路如图2.6b所示。由于电容效应,G和S间相当于一个电容,NMOS管截止时,D和S间无电流经过,相当于一个断开的开关;NMOS管导通时,D和S间有流过电阻的电流,相当于一个有电阻的闭合开关。
a NMOS管开关电路结构
b 开关等效电路
图2.6 NMOS管开关电路及其等效电路
2.3 分立元件门电路
2.3.1 二极管与门和或门
最简单的与门和或门都可以用二极管和电阻构成,图2.7所示为二输入端与门和或门以及它们的逻辑符号。假设,输入端的高电平为,低电平为,二极管的导通电压为0.7V。图2.7a中,输入端变量A、B只要有一个输入,则必有一个二极管导通,由于"钳位"作用,输出变量Ya=0.7V;若A、B同时为,输出Ya=3.7V,所以是逻辑"与"的关系,其逻辑符号如图2.7c上图所示。图2.7b中,输入端A、B只要有一个输入,则必有一个二极管导通,由于"钳位"作用,输出Yb=2.3V;若A、B同时为,输出Yb=0V,所以是逻辑"或"的关系,其逻辑符号如图2.7c下图所示。
a 与门
b 或门
c 逻辑符号
图2.7 二极管门电路
通常规定低电平的范围是0~0.8V,高电平的范围是2~5V,因此上述两逻辑电路的电压功能表和真值表如表2.2和表2.3所示。
表2.2 与门和或门的电压功能表
AV
BV
YaV
YbV
0
0
0.7
0
0
3
0.7
2.3
3
0
0.7
2.3
3
3
3.7
2.3
表2.3 与门和或门的真值表
A
B
Ya
Yb
0
0
0
0
0
1
0
1
1
0
0
1
1
1
1
1
二极管组成的与门和或门电路结构简单,但是存在一些缺点。一是存在输出电平偏移的问题,以二极管与门为例,如图2.8所示,两个与门级联。当前级输入一个低电平信号时,理论上输出端电平为Y=。但是由于二极管上存在导通电压,从输入端到点P时输出电平为0.7V,再通过第二级与门后输出Y=1.4V,不是有效的低电平,即输出电平发生了严重的偏移。二是输出端接负载时,负载电阻的改变有时会影响输出的高电平。可见,仅用二极管门电路无法制作出具有标准化输出电平的集成电路,这种电路只用于集成电路内部的逻辑单元。
图2.8 级联的二极管与门
2.3.2 三极管非门
在图2.5a所示的开关电路中,当输入为时,输出;而当输入时,输出,因此是一个非门电路。
2.3.3 MOS管非门
图2.6a所示的MOS管开关电路实际上就是一个非门电路。当=0V时,MOS管截止,高电平;当时,MOS管导通,,若较大,则。
这里介绍分立元件门电路的结构,旨在让学生体会逻辑运算是如何与具体电路结合起来的。虽然分立元件门电路结构简单,但使用中存在电平偏移、输出电阻大、负载能力弱等缺点,已被集成电路所代替。
2.4 TTL门电路
TTLTransistor-Transistor Logic门电路的输入输出级主要由晶体管组成,所以称为晶体管-晶体管逻辑门,简称TTL门电路。反相器是使用较为普遍、结构最简单的一种TTL集成逻辑门。本节主要介绍集成TTL反相器的工作原理及其特性。
2.4.1 TTL反相器的电路结构和工作原理
1.TTL反相器的电路结构
TTL反相器的电路结构如图2.9所示。
TTL反相器的电路由三部分组成:第一部分是由晶体管VT1和电阻R1组成的输入级,第二部分是由晶体管VT2和电阻R2、R3组成的反相级,第三部分是由晶体管VT4、VT5和电阻R4及二极管VD2组成的输出级。为了保护电路的输入端,常在输入端和地之间反向接一个二极管VD1,它既可以抑制输入端可能出现的负极性干扰脉冲,又可以防止输入电压为负时VT1的发射极电流过大,对电路的基本功能没有影响。
图2.9 TTL反相器的电路结构
设电源电压,输入信号高、低电平分别为、,PN结的开启电压。当时,VT1的发射结必然导通,此后基极电位被钳位在,所以VT2的发射结不会导通。由于VT1的集电极回路电阻为R2和VT2的集电结反向电阻之和,阻值很大,因此VT1工作在深度饱和状态,。此时VT1的集电极电流极小,可忽略不计。VT2截止后,发射极电流为0,为低电平,因此VT5截止,集电极电流为0,经过R2接VT4基极,VT4导通后处于放大状态,基极电流非常小,R2上压降很小,若忽略其压降,则输出电压。
当时,若先不考虑VT2的存在,则应有。这一电压可以使三个PN结导通,故VT2、VT5发射结必然导通,之后便被钳位在2.1V,所以实际上不可能等于4.1V,只能是2.1V左右。VT1的发射结反向偏置,集电结正向偏置,VT1的这种状态称为倒置状态,相当于C、E端互换。VT2导通使降低而升高,导致VT4截止、VT5导通,输出电压。
综上所述,时,;时,,输入输出是相反的关系,即。
由于VT2的集电极输出的电压信号和发射极输出的电压信号的变化方向相反,因此把这一级称为反相级。输出级的工作特点是在稳定状态下,VT4和VT5总是一个导通而另一个截止,通常把这种形式的电路称为推拉式电路或图腾柱输出电路。推拉式电路可以有效降低输出级的静态功耗并提高驱动负载的能力。VT4发射极下面的二极管VD2可以保证当VT5饱和导通时,VT4可靠地截止。
2.TTL反相器的电压传输特性
图2.10反映了TTL反向器的输出端电压随输入端电压的变化,即电压传输特性。
输入电压从0开始升高,在AB段,,,VT2和VT5截止,VT4导通,输出电平。该区域称为特性曲线的截止区。
在BC段,,因此VT2导通而VT5截止,这时VT2工作在放大区,随着的升高,和线性下降。该区域称为特性曲线的线性区。
图2.10 TTL反相器的电压传输特性
升高到1.4V左右时进入CD段,此时,VT2和VT5将同时导通,VT4截止,输出电位急剧下降为低电平,该区域称为转折区。转折区中点对应的输入电压称为阈值电压或门槛电压,用表示。
继续升高,进入特性曲线的DE段,不再变化,称为特性曲线的饱和区。
3.输入端噪声容限
从电压传输特性上看,当输入信号在一定范围内偏离正常的低电平0V而升高时,输出的高电平并不立刻改变。同样,当输入信号在一定范围内偏离正常的高电平3.4V而降低时,输出的低电平也不会马上改变。允许输入的高、低电平信号各有一个波动范围。我们称在保证输出高、低电平基本不变的条件下,输入电平的允许波动范围为输入端噪声容限。
规定输出高电平的下限为,输出低电平的上限为,如图2.11所示。同时可以确定,当输出为时的输入最大低电平为,输出为时的输入最小高电平为。
图2.11 输入端噪声容限
当进行多个门电路的级联时,前一级门电路的输出就是后一级门电路的输入。对后一级来说,输入低电平信号可能出现的最大值即。由此可得输入为低电平时的噪声容限为
2-1
同理,输入为高电平时的噪声容限为
2-2
74系列TTL门电路的标准参数值为,,,,故可得,。
4.TTL反相器的输入特性
在图2.9给出的TTL反相器电路中,如果仅仅考虑输入信号是高电平和低电平而不是某一个中间值的情况,则可忽略VT2和VT5的b-c结反向电流以及R3对VT5基极回路的影响,将输入端的等效电路画成如图2.12所示的形式。
图2.12 TTL反相器的输入端等效电路
当Ucc=5V, UI=UIL=0.2V时,低电平输入电流为
2-3
时的输入电流称为输入短路电流。显然,的数值比的数值略大一点。在做近似分析计算时,经常用手册上给出的近似代替使用。
当时,VT1处于倒置状态,倒置状态下的三极管的电流放大系数极小,近似认为是0,则这时的输入电流只是VT1管be结的反向电流,所以高电平输入电流很小。74系列门电路每个输入端的值在400以下。
根据图 2.12 所示的等效电路可以画出输入电流随输入电压变化的曲线即输入特性曲线,如图2.13所示。
图2.13 TTL反相器的输入特性曲线
输入电压介于高、低电平之间的情况要复杂一些,但考虑到这种情况通常只发生在输入信号电平转换的短暂过程中,所以就不做详细的分析了。
5.TTL反相器的输出特性
1 高电平输出特性
在图2.9给出的TTL反相器电路中,当时,VT4和VD2导通,VT5截止,输出端的等效电路可以画成图2.14所示的形式。由图可见,这时VT4工作在射极输出状态,电路的输出电阻很小。在负载电流较小的范围内,负载电流的变化对的影响很小。
随着负载电流的绝对值的增加,R4上的压降也随之加大,最终将使VT4的集电结变为正向偏置,VT4进入饱和状态。这时VT4将失去射极跟随功能,因而随绝对值的增加几乎线性下降。图2.15给出了74系列门电路在输出为高电平时的输出特性曲线。从曲线上可见,在的范围内,变化很小。当以后,随着绝对值的增加,下降较快。
图2.14 TTL反相器高电平输出等效电路
图2.15 TTL反相器的高电平输出特性曲线
由于受到功耗的限制,所以手册上给出的高电平输出电流的最大值要比5mA小很多。74 系列门电路的运用条件规定,输出为高电平时,最大负载电流不能超过0.4mA。如果,,那么当时,门电路内部消耗的功率达到1mW。
2 低电平输出特性
当输出为低电平时,门电路输出级的VT5饱和导通而VT4截止,输出端的等效电路如图2.16所示。由于VT5饱和导通时c-e间的饱和导通内阻很小通常在10以内,饱和导通压降很低通常约0.1V,所以负载电流增加时,输出的低电平仅稍有升高。图2.17所示为低电平输出特性曲线,可以看出,与的关系在较大的范围里基本呈线性。
6.TTL反相器输入端负载特性
在具体使用门电路时,有时需要在输入端与地之间或者输入端与低电平信号之间接入电路,如图2.18所示。
由图2.18可知,因为输入电流流过,必然会在上产生压降而形成输入端电位。而且,越大,也越高。
图2.16 TTL反相器低电平输出等效电路
图2.17 TTL反相器低电平输出特性曲线
图2.19所示的曲线给出了随变化的规律,即输入端负载特性。由图2.18可知:
2-4
上式表明,在的条件下,几乎与成正比。但是当上升到1.4V以后,VT2和VT5的发射结同时导通,将钳位在了2.1V左右,所以即使再增大,也不会再升高了。这时与的关系也就不再遵守式2-2的关系,特性曲线趋近于的一条水平线,如图2.19所示。
图2.18 TTL反相器输入端经电阻接地时的等效电路
图2.19 TTL反相器输入端负载特性
7.门电路的扇出系数
对于图2.20所示电路,门G0为驱动门,门G1,G2,...,GN为负载门,N为扇出系数。当G0输出为低电平时,设可带个负载,则有,即。当G0输出为高电平时,设可带个负载,则有,即,扇出系数。
图2.20 扇出系数的计算
2.4.2 TTL反相器的动态特性
1.传输延迟时间
在TTL电路中,由于二极管和三极管从导通变为截止或从截止变为导通都需要一定的时间,而且还有二极管、三极管以及电阻、连接线等的寄生电容存在,所以在把理想的矩形电压信号加到TTL反相器的输入端时,输出电压的波形不仅要比输入信号滞后,而且波形的上升沿和下降沿也将变坏,如图2.21所示。
我们把输出电压波形滞后于输入电压波形的时间称为传输延迟时间。通常将输出电压由低电平跳变为高电平时的传输延迟时间记作,把输出电压由高电平跳变为低电平时的传输延迟时间记作。和的定义方法如图2.21所示。
图2.21 TTL反相器的动态电压波形
在74系列门电路中,由于输出级的管导通时工作在深度饱和状态,所以它从导通转换为截止时对应于输出由低电平跳变为高电平时的开关时间较长,致使略大于。通常用平均传输延迟时间tpd来表征门电路的开关速度,。
因为传输延迟时间和电路的许多分布参数有关,不易准确计算,所以和的数值最后都是通过实验方法测定的。这些参数可以从产品手册上查到。
2.交流噪声容限
由于TTL电路中存在三极管的开关时间和分布电容的充放电过程,因而输入信号状态变化时必须有足够的变化幅度和作用时间才能使输出状态改变。在输入信号为窄脉冲,而且脉冲宽度接近于门电路传输延迟时间的情况下,为使输出状态改变所需要的脉冲幅度将远大于信号为直流时所需要的信号变化幅度。因此,门电路对这类窄脉冲的噪声容限--交流噪声容限高于前面讲过的直流噪声容限。
图2.22所示为输入为不同宽度的窄脉冲时TTL反相器的交流噪声容限曲线。图中以表示输入脉冲的宽度,以表示输入脉冲的幅度。图2.22a中将输出高电平降至2.0V时输入正脉冲的幅度定义为正脉冲噪声容限。图2.22b中将输出低电平上升至0.8V时输入负脉冲的幅度定义为负脉冲噪声容限。
a 正脉冲噪声容限
b 负脉冲噪声容限
图2.22 TTL反相器的交流噪声容限曲线
因为绝大多数TTL门电路的传输延迟时间都在50ns以内,所以当输入脉冲的宽度达到微秒的数量级时,在信号作用时间内电路已达到稳态,应将输入信号按直流信号处理。
2.4.3 其他类型的TTL门电路
1.其他逻辑功能的门电路
为便于实现各种不同的逻辑函数,在门电路的定型产品中除了反相器以外,还有与门、或门、与非门、或非门、与或非门和异或门几种常见的类型。尽管它们的逻辑功能各异,但其输入端、端出端的电路结构形式与反相器基本相同。
1 与非门
图2.23a所示为74系列TTL与非门的典型电路。它与图2.10所示的反相器电路的区别在于输入端改成了多发射极三极管。
多发射极三极管的结构如图2.23b所示。它的基区和集电区是共用的,而在P型的基区上制作了两个或多个高掺杂的N型区,形成两个互相独立的发射极。我们可以把多发射极三极管看作两个发射极独立而基极和集电极分别并联在一起的三极管,如图2.23b右图所示。
在图2.23所示的与非门电路中,只要A、B当中有一个接低电平,则必有一个发射结导通,并将的基极电位钳在0.9V假定,。这时和都不导通,输出为高电平。只有当A、B同时为高电平时,和才同时导通,并使输出为低电平。因此,Y和A、B之间为与非关系,即。
a TTL与非门电路结构b 多发射极三极管
图2.23 TTL与非门电路
可见,TTL电路中的与逻辑关系是利用的多发射极结构实现的。与非门输出电路的结构和电路参数与反相器相同,所以反相器的输出特性也适用于与非门。
在计算与非门每个输入端的输入电流时,应根据输入端的不同工作状态区别对待。在把两个输入端并联使用时,由图2.23可以看出,低电平输入电流可按式2-1计算,所以和反相器相同。而输入接高电平时,和分别为两个倒置三极管的等效集电极,所以总的输入电流为单个输入端的高电平输入电流的两倍。
如果A、B一个接高电平而另一个接低电平,则低电平输入电流与反相器基本相同,而高电平输入电流比反相器的略大一些。
2 或非门
TTL或非门的典型电路如图2.24所示。
图2.24 TTL或非门电路
图中,、和所组成的电路和、和组成的电路完全相同。当A为高电平时,和V同时导通,截止,输出Y为低电平。当B为高电平时,和同时导通,截止,输出Y也是低电平。只有当A、B都为低电平时,和同时截止,使截止而导通,从而使输出Y成为高电平。因此,Y和A、B间为或非关系,即。
或非门中的或逻辑关系是通过将和两个三极管的输出端并联来实现的。由于或非门的输入端和输出端电路结构与反相器相同,所以输入特性和输出特性也和反相器一样。
3 与或非门
若将图2.24所示的或非门电路中的每个输入端都改用多发射极三极管,就得到了如图2.25所示的与或非门电路。
由图可见,当A、B同时为高电平时,、导通而截止,输出Y为低电平。同理,当C、D同时为高电平时,、导通而截止,也使Y为低电平。只有当A、B和C、D每一组输入都不同时为高电平时,和才同时截止,使截止而导通,输出Y为高电平。因此,Y和A、B及C、D间是与或非关系,即。
4 异或门
TTL异或门的典型电路如图2.26所示。
图2.25 TTL与或非门电路
图2.26 TTL异或门电路
图2.26中,虚线以右部分和或非门的反相级、输出级相同,只要和当中有一个基极为高电平,都能使截止、导通,输出为低电平。
若A、B同时为高电平,则、导通而截止,输出为低电平。反之,若A、B同时为低电平,则和同时截止,使和导通而截止,输出也为低电平。
当A、B中一个是高电平而另一个是低电平时,正向饱和导通、截止。同时,由于A、B中必有一个是高电平,使、中有一个导通,从而使截止。、同时截止以后,使导通而截止,故输出为高电平。因此,Y和A、B间为异或关系,即。
与门、或门电路是在与非门、或非门电路的基础上在电路内部增加一级反相级所构成的。因此,与门、或门的输入电路及输出电路和与非门、或非门的相同。这两种门电路的具体电路和工作原理就不一一介绍了。
2.集电极开路的门电路OC门
虽然推拉式输出电路结构具有输出电阻很低的优点,但使用时有一定的局限性。首先,不能把它们的输出端并联使用。由图2.27可见,倘若一个门的输出是高电平而另一个门的输出是低电平,则输出端并联以后必然有很大的负载电流同时流过这两个门的输出级。这个电流的数值将远远超过正常工作电流,可能使门电路损坏。
其次,在采用推拉式输出级的门电路中,电源一经确定通常规定工作在 5V,输出的高电平也就固定了,因而无法满足对不同输出高、低电平的需要。此外,推拉式电路结构也不能满足驱动较大电流、较高电压的负载的要求。
克服上述局限性的方法就是把输出级改为集电极开路的三极管结构,做成集电极开路的门电路Open
Collector Gate,简称OC门。
图2.28给出了OC门的电路结构和图形符号。这种门电路在工作时需要外接负载电阻和电源。只要电阻的阻值和电源电压的数值选择得当,就能够做到既保证输出的高、低电平符合要求,输出端三极管的负载电流又不会过大。
图2.27 推拉式输出级并联的情况
图2.28 OC门的电路结构和图形符号
图2.29是将两个OC结构与非门的输出并联的例子。由图可知,只有A、B同时为高电平时才导通,输出低电平,故。同理,。现将、两条输出线直接接在一起,因而只要、中有一个是低电平,Y就是低电平。只有、同时为高电平时,Y才为高电平,即。Y和、之间的这种连接方式称为"线与",在逻辑图中用方框表示。因为,所以将两个OC结构的与非门线与连接即可得到与或非的逻辑功能。
由于和同时截止时输出的高电平为,而的电压数值可以不同于门电路本身的电源,所以只要根据要求选择的大小,就可以得到所需的值。
图2.29 OC门输出并联的接法及逻辑图
3.三态输出门电路TS门
三态输出门Three-State Output Gate,TS门是在普通门电路的基础上附加控制电路而构成的。
图2.30给出了三态输出门的电路图及图形符号。其中图2.30a所示电路的控制端EN为高电平时EN =1,P点为高电平,二极管VD截止,电路的工作状态和普通的与非门没有区别。这时,可能是高电平也可能是低电平,视A、B的状态而定。而当控制端EN为低电平时EN
=0,P点为低电平,截止。同时,二极管VD导通,的基极电位被钳在0.7V,使截止。由于和同时截止,所以输出端呈高阻状态。这样输出端就有三种可能出现的状态,即高阻、高电平、低电平,故称这种门电路为三态输出门。
因为图2.30a所示电路在EN =1时为正常的与非工作状态,所以称为控制端高电平有效。而在图2.30b所示电路中,EN =0时为工作状态,故称为控制端低电平有效。
a 控制端高电平有效b 控制端低电平有效
图2.30 三态输出门的电路图和图形符号
在一些复杂的数字系统例如微型计算机中,为了减少各个单元电路之间连线的数目,希望能在同一条导线上分时传递若干个门电路的输出信号。这时可采用如图2.31a所示的连接方式,图中~均为三态与非门。只要在工作时控制各个门的EN端轮流等于1,而且任何时候仅有一个等于1,就可以把各个门的输出信号轮流送到公共的传输线--总线上而互不干扰。这种连接方式称为总线结构。
三态输出门还经常做成单输入、单输出的总线驱动器,并且输入与输出有同相和反相两种类型。
利用三态输出门电路还能实现数据的双向传输。在图2.31b所示的电路中,当EN =1时工作而为高阻态,数据经反相后送到总线上;当EN =0时工作而为高阻态,来自总线的数据经反相后由送出。
 a 将三态输出门接成总线结构 b 三态输出门实现数据的双向传输
图2.31 三态输出门的应用
2.4.4 TTL数字集成电路系列
TI公司最初生产的TTL集成电路取名为SN5474系列,我们称它为TTL基本系列。
为满足用户在提高工作速度和降低功耗这两方面的要求,继上述的74系列之后,TI又相继研制和生产了74H系列、74S系列、74LS系列、74AS系列和74ALS系列等改进的TTL电路。现将这几种改进系列在电路结构和电气特性上的特点分述如下。
1.74H系列
74H系列又称高速系列。图2.32所示为74H系列与非门74H00的电路结构。为了提高电路的开关速度,减小传输延迟时间,在电路结构上采取了两项改进措施。一是在输出级采用了达林顿结构,用V和V组成的复合三极管代替原来的V;二是将所有电阻的阻值普遍降低为原来的一半左右。
采用达林顿结构进一步减小了门电路输出高电平时的输出电阻,从而提高了对负载电容的充电速度。减小了电路中各个电阻的阻值以后,不仅缩短了电路中各节点电位的上升时间和下降时间,也加速了三极管的开关过程。因此,74H系列门电路的平均传输延迟时间约为74系列门电路的一半,通常在10ns以内。
减小电阻阻值带来的不利影响是增加了电路的静态功耗。74H系列门电路的平均电流约为74系列门电路的两倍。这就是说,74H系列门电路工作速度的提高是用增加功耗的代价换取的。因此,74H系列门电路的改进效果不够理想。
图2.32 74H系列与非门74H00的电路结构
2.74S系列
74S系列又称肖特基系列。通过对74系列门电路动态过程的分析可看到,三极管导通时工作在深度饱和状态是产生传输延迟时间的一个主要原因。如果能避免三极管导通时进入深度饱和状态,那么传输延迟时间将大幅度减小。为此,在74S系列的门电路中,采用了抗饱和三极管或称为肖特基三极管。
抗饱和三极管是由普通的双极型三极管和肖特基势垒二极管Schottky Barrier Diode,SBD组合而成的,如图2.33所示。
图2.33 抗饱和三极管
图2.34所示为74S系列与非门74S00的电路结构。其中VT1、VT2、VT3、VT5和VT6都是抗饱和三极管。因为VT4的b-c结不会出现正向偏置,亦即不会进入饱和状态,所以不必改用抗饱和三极管。电路中仍采用了较小的电阻阻值与74H系列相当。
电路结构的另一个特点是用VT6、和组成的有源电路代替了74H系列中的电阻,为VT5管的发射结提供了一个有源泄放回路。在VT2由截止变为导通的瞬间,由于VT6的基极回路中串接了电阻,所以VT5的基极必然先于VT6的基极导通,使VT2发射极的电流全部流入VT5的基极,从而加速了VT5的导通过程。而在稳态下,由于VT6导通后产生的分流作用减少了VT5的基极电流,也就减轻了VT5的饱和程度,这又有利于加快VT5从导通变为截止的过程。
当VT2从导通变为截止以后,因为VT6仍处于导通状态,为VT5的基极提供了一个瞬间的低内阻泄放回路,使VT1得以迅速截止。因此,有源泄放回路的存在缩短了门电路的传输延迟时间。
此外,引进有源泄放电路还改善了门电路的电压传输特性。因为VT2的发射结必须经VT5或VT6的发射结才能导通,所以不存在VT2导通而VT5尚未导通的阶段,而这个阶段正是产生电压传输特性线性区的根源,因此74S系列门电路的电压传输特性曲线上没有线性区,更接近于理想的开关特性,如图2.35所示。从图中可以看到,74S系列门电路的阈值电压比74系列的要低一些。这是因为VT1为抗饱和三极管,它的b-c极间存在SBD,所以VT5开始导通所需要的输入电压比74系列门电路要低一点。
图2.34 74S系列与非门74S00的电路结构
图2.35 74S系列反相器的电压传输特性
采用抗饱和三极管和减小电路中电阻的阻值也带来了一些缺点:首先,加大了电路的功耗;其次,由于VT5脱离了深度饱和状态,导致输出低电平升高最大值可达0.5V左右。
3.74LS系列
性能比较理想的门电路应该工作速度既快,功耗又小。然而从上面的分析中可以发现,缩短传输延迟时间和降低功耗对电路提出的要求往往是互相矛盾的。因此,只有用传输延迟时间和功耗的乘积Delay-Power Product,延迟-功耗积或dp积才能全面评价门电路性能的优劣。延迟-功耗积越小,电路的综合性能越好。
为了得到更小的延迟-功耗积,TI在兼顾功耗与速度两方面的基础上又进一步开发了74LS系列也称为低功耗肖特基系列。
图2.36所示为74LS系列与非门74LS00的电路结构。为了降低功耗,74LS大幅度提高了电路中各个电阻的阻值。同时,将原来接地的一端改接到输出端,以减小VT3导通时上的功耗。74LS系列门电路的功耗仅为74系列的15,74H系列的110。为了缩短传输延迟时间,提高开关工作速度,74LS沿用了74S系列提高工作速度的两个方法:使用抗饱和三极管和引入有源泄放电路。同时,还将输入端的多发射极三极管用SBD代替,因为这种二极管没有电荷存储效应,有利于提高工作速度。此外,为进一步加速电路开关状态的转换过程,又接入了、这两个SBD。当输出端由高电平跳变为低电平时,经的集电极和的基极为输出端的负载电容提供了另一条放电回路,既加快了负载电容的放电速度,又为管增加了基极驱动电流,加速了的导通过程。同时,也通过为的基极提供一个附加的低内阻放电通路,使更快地截止,这也有利于缩短传输延迟时间。由于采用了这一系列的措施,虽然电阻阻值增大了很多,但74LS的传输延迟时间仍可达到74系列的水平。74LS系列的延迟-功耗积是上述四种TTL电路系列中最小的一种,仅为74系列的15,74S系列的13。
74LS系列门电路的电压传输特性也没有线性区,而且阈值电压要比74系列低,约为1V左右。
图2.36 74LS系列与非门74LS00的电路结构
4.74AS和74ALS系列
74AS系列是为了进一步缩短传输延迟时间而设计的改进系列。它的电路结构与74LS系列相似,但是电路中采用了很低的电阻阻值,从而提高了工作速度。
74ALS 系列是为了获得更小的延迟-功耗积而设计的改进系列,它的延迟-功耗积是TTL电路所有系列中最小的。为了降低功耗,电路中采用了较高的电阻阻值,同时,通过改进生产工艺缩小了内部各个器件的尺寸,获得了减小功耗、缩短延迟时间的双重功效。此外,在电路结构上也作了局部的改进。
5.54、54H、54S、54LS系列
54系列的TTL电路和74系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54系列比74系列的工作温度范围更宽,电源允许的工作范围也更大。74系列的工作环境温度规定为0~70℃,电源电压的工作范围为5V5%;而54系列的工作环境温度为-55~ 125℃,电源电压的工作范围为5V10%。
为便于比较,现将不同系列TTL电路的传输延迟时间、功耗和延迟-功耗积dp积列于表2.4中。
表2.4 不同系列TTL门电路的性能比较
7454
74H54H
74S54S
74LS54LS
74AS54AS
74ALS54ALS
tpdns
10
6
4
10
1.5
4
PmW门
10
22.5
20
2
20
1
dp积nsmW
100
135
80
20
30
4
54H与74H、54S与74S以及54LS与74LS系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54系列和74系列的区别那样。
在不同系列的TTL器件中,只要器件型号的后几位数字一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。例如,7420、74H20、74S20、74LS20、74ALS20都是双四输入与非门内部有两个四输入端的与非门,都采用14条引脚双列直插式封装,而且输入端、输出端、电源、地线的引脚位置也都是相同的。
2.5 CMOS门电路
2.5.1 CMOS反相器的电路结构和工作原理
1.电路结构
由2.2.3节可知,MOS管开关电路满足时,;时,。因此,这是一个反相器。用一个PMOS管代替图2.6a中RD的位置,可以构成CMOS反相器,其基本电路结构形式为如图2.37所示的有源负载反相器。其中,VTl是P沟道增强型MOS管,VT2是N沟道增强型MOS管。假设VTl和VT2的开启电压分别为和,令,那么当时,有
 2-5
故VTl导通,且导通内阻很低在足够大时可小于;而VT2截止,且截止内阻很高可达~。因此,输出为高电平,。
当时,则有
2-6
故VTl截止而VT2导通,输出为低电平,且。
可见,输出与输入之间为逻辑非的关系,而其结构由一个PMOS管和一个NMOS管构成,因此称为CMOS反相器。
由于静态条件下,无论是高电平还是低电平,VTl和VT2中总有一个是截止的,而且截止内阻又极高,流过VTl和VT2的静态电流极小,因而CMOS反相器的静态功耗极小。这是CMOS电路最突出的一大优点。
2.电压传输特性和电流传输特性
在图2.37所示的CMOS反相器电路中,设,且,VTl和VT2具有同样的导通内阻和截止内阻,则输出电压随输入电压的变化,即电压传输特性如图2.38所示。
当反相器工作于电压传输特性曲线的AB段时,由于,而,故VTl导通并工作在低内阻的电阻区,VT2截止,分压的结果。
图2.37 CMOS反相器
图2.38 CMOS反相器的电压传输特性
在BC段,,,,VTl和VT2同时导通。假设VTl和VT2的参数完全对称,则时两管的导通内阻相等,,即工作于电压传输特性曲线转折区的中点。因此,CMOS反相器的阈值电压为。
在特性曲线的CD段,由于,使,故VTl截止。而,VT2导通。因此。
从图2.38所示的曲线上还可以看到,CMOS反相器的电压传输特性上不仅有,而且转折区的变化率很大,因此它更接近于理想的开关特性。这种形式的电压传输特性使CMOS反相器获得了更大的输入端噪声容限。
图2.39所示为漏极电流随输入电压的变化,即电流传输特性。该特性曲线也可以分成三个工作区。在AB段,因为VT2工作在截止状态,内阻非常高,所以流过VTl和VT2的漏极电流几乎等于零。在BC段,VTl、VT2同时导通,有电流流过VTl和VT2,而且附近的最大。考虑到CMOS电路的这一特点,在使用这类器件时不应使之长期工作在电流传输特性的BC段,以防止器件因功耗过大而损坏。在CD段,因为VTl为截止状态,内阻非常高,所以流过VTl和VT2的漏极电流也几乎为零。
3.输入端噪声容限
图2.40中画出了为不同数值时CMOS反相器的电压传输特性。可以看出,随着的增加,和也相应加大,而且每个值下的和始终保持相等。
国产CC4000系列CMOS电路的性能指标中规定:在输出高、低电平的变化不大于10%的条件下,输入信号低、高电平允许的最大变化量为和。测试结果表明,。图2.41中绘出了和随变化的情况。图中取为的正常值,取0.05V为的正常值。
图2.39 CMOS反相器的电流传输特性
图2.40 不同UDD下CMOS反相器的噪声容限图2.41 CMOS反相器输入端噪声容限与UDD的关系
为了提高CMOS反相器的输入端噪声容限,可以适当提高,而这在TTL电路中是办不到的。
2.5.2 CMOS与非门和或非门
图2.42所示为CMOS与非门的基本结构形式,它由两个并联的P沟道增强型MOS管VT1、VT3和两个串联的N沟道增强型MOS管VT2、VT4组成。
当A =1、B =0时,VT3导通、VT4截止,故Y =1。而当A =0、B =1时,VT1导通、VT2截止,也使Y =1。只有在A =B
=1时,VT1和VT3同时截止、VT2和VT4同时导通,才有Y =0。因此,Y和A、B间是与非关系,即。
图2.43所示为CMOS或非门的基本结构形式,它由两个并联的N沟道增强型MOS管VT2、VT4和两个串联的P沟道增强型MOS管VT1、VT3组成。
图2.42 CMOS与非门
图2.43 CMOS或非门
在这个电路中,只要A、B当中有一个是高电平,输出就是低电平。只有当A、B同时为低电平时,才使VT2和VT4同时截止、VT1和VT3同时导通,输出为高电平。因此,Y和A、B间是或非关系,即。
利用与非门、或非门和反相器又可组成与门、或门、与或非门、异或门等,这里就不一一列举了。
2.5.3 CMOS传输门
利用P沟道MOS管和N沟道MOS管的互补性可以接成如图2.44所示的CMOS传输门。CMOS传输门如同CMOS反相器一样,也是构成各种逻辑电路的一种基本单元电路。
图中,VT1是N沟道增强型MOS管,VT2是P沟道增强型MOS管。因为VT1和VT2的源极和漏极在结构上是完全对称的,所以栅极的引出端画在栅极的中间。VT1和VT2的源极和漏极分别相连作为传输门的输入端和输出端。C和是一对互补的控制信号。
图2.44 CMOS传输门的电路结构与逻辑符号
利用CMOS传输门和CMOS反相器可以组合成各种复杂的逻辑电路,如数据选择器、寄存器、计数器等。
2.5.4 CMOS三态门和漏极开路门
1.CMOS三态门
CMOS三态输出门从电路结构上分大体有以下三种形式。
第一种电路结构是在反相器上增加一对P沟道和N沟道的MOS管,如图2.45所示。当控制端=1时,附加管和同时截止,输出呈高阻态。而当=0时,和同时导通,反相器正常工作,。
图2.45 CMOS三态门电路结构之一
第二种电路结构是在反相器的基础上增加一个控制管和一个与非门或者或非门,如图2.46所示。在如图2.46a所示的电路中,若=1,则控制管截止,这时或非门的输出为0,亦为截止状态,故输出为高阻态。反之,若=0,则导通,门电路正常工作,Y =A。
如图2.46b所示的电路中是用与非门和控制管实现三态控制的。当EN=0时,V截止,由于这时与非门的输出为高电平,VTl也截止,所以输出为高阻态。而当EN=1时,导通,门电路正常工作,Y=A。
a 用或非门控制 b 用与非门控制
图2.46 CMOS三态门电路结构之二
第三种电路结构是在反相器的输出端串进一个CMOS模拟开关参见2.5.4节,作为输出状态的控制开关,如图2.47所示。当=1时,传输门TG截止,输出为高阻态。而当=0时,TG导通,反相器的输出通过模拟开关到达输出端,故。
图2.47 CMOS三态门电路结构之三
2.漏极开路的门电路OD门
在CMOS电路中,CMOS门的输出电路结构可以做成漏极开路的形式。这种输出电路结构经常用在输出缓冲驱动器中,或者用于输出电平的变换,以及满足吸收大负载电流的需要。此外也可用于实现线与逻辑。
图2.48所示为CC40107双二输入与非缓冲驱动器的逻辑图,它的输出电路是一只漏级开路的N沟道增强型MOS管。在输出为低电平的条件下,它能吸收的最大负载电流达50mA。
如果输入信号的高电平,而输出端外接电源为,则输出的高电平将为。这样就把、0的输入信号高、低电平转换成了0、的输出电平了。
图2.48 CC40107双二输入与非缓冲驱动器逻辑图
2.5.5 CMOS数字集成电路系列
1.高速CMOS电路
自CMOS电路问世以来,它便以其低功耗、高抗干扰能力等突出的优点引起了用户和生产厂商的普遍重视。然而早期生产的CMOS器件工作速度较低,使它的应用范围受到了一定的限制。
从图2.49所示的MOS管结构图中可以看到,在MOS管中存在着一些寄生电容,因而降低了MOS管的开关速度。这些电容包括栅极对衬底的电容、漏极对衬底的电容、源极对衬底的电容、栅极和漏极间的电容以及栅极和源极间的电容等。
图2.49 MOS管的寄生电容效应
为了减小这些电容,高速CMOS电路从工艺上作了改进。首先,尽量减小了沟道的长度,缩小了整个MOS管的尺寸。理论分析和实验证明,将器件尺寸缩小到原来的,开关速度将提高10倍,同时功耗相应地减小为原来的。而且,减小沟道长度还能缩短载流子通过沟道的渡越时间,这也有利于提高开关速度。其次,采用硅栅自对准技术减小了栅极和漏极、栅极和源极的重叠区,使和的数值减小。
采用上述短沟道、硅栅自对准工艺生产的高速CMOS电路,其平均传输延迟时间小于10ns,只有CC4000系列CMOS门电路的,与54LS74LS系列的TTL门电路相当。
高速CMOS门电路的通用系列为54HC74HC系列。该系列产品使用 5V电源,输出的高、低电平与TTL电路兼容。不仅如此,54HC74HC与54LS74LS只要最后表示的数字相同,则两种器件的逻辑功能、外形、尺寸及引脚排列顺序也完全相同。这些都为以74HC系列产品替代74LS系列产品提供了方便。不过在输入特性和输出特性上,这两种器件有所不同,在多数情况下还不能简单地互换使用。
2.Bi-CMOS电路
Bi-CMOS是双极型-CMOSBipolar-CMOS电路的简称。这种门电路的特点是逻辑部分采用CMOS结构,输出级采用双极型三极管,因此,它兼有CMOS电路的低功耗和双极型电路低输出内阻的优点。
小结
门电路是构成各种复杂数字电路的基本逻辑单元,掌握各种门电路的逻辑功能和电气特性,对于正确使用数字集成电路是十分必要的。
本章重点介绍了目前应用最广的TTL和CMOS两类集成门电路。在学习这些集成电路时应将重点放在它们的外部特性上。外部特性包含两个内容,一个是输出与输入间的逻辑关系,即所谓逻辑功能;另一个是外部的电气特性,包括电压传输特性、输入特性、输出特性和动态特性等。虽然文中也讲到了一些有关集成电路内部结构和工作原理的内容,但其目的在于帮助读者加深对器件外部特性的理解,以便更好地运用这些外部特性。
不管逻辑电路有多复杂,只要是TTL电路,其输入端和输出端的电路结构就和本章讲的TTL电路相同;只要是CMOS电路,其输入端和输出端的电路结构就也和本章讲的CMOS电路相同。本章所讲的外部电气特性对这些电路同样适用。
思 考 题
2-1 半导体二极管的开关条件是什么?导通和截止时各有什么特点?和理想开关相比较,它的主要缺点是什么?
2-2 半导体三极管的开关条件是什么?饱和导通和截止时各有什么特点?和半导体二极管相比较,它的主要优点是什么?
2-3 N沟道增强型MOS管的开关条件是什么?导通和截止时各有什么特点?和P沟道增强型MOS管相比较,两者特性的主要不同点是什么?
2-4 TTL门电路和CMOS门电路的输入特性有何区别?为什么CMOS电路的输入端不允许悬空,而TTL电路的输入端一般不串接大电阻?
2-5 试说明能否将与非门、或非门、异或门当作反相器使用?如果可以,各输入端应如何连接?
2-6 试说明下列各种门电路中哪些可以将输出端并联使用输入端的状态不一定相同。
1 具有推拉式输出级的TTL电路。
2 TTL电路的OC门。
3 TTL电路的三态输出门。
4 互补输出结构的CMOS门。
5 CMOS电路的OD门。
6 CMOS电路的三态输出门。
2-7 图2.50中电路均为TTL电路,为实现表达式的逻辑功能,各电路在连接上有何错误?如何改正?
a
b
c
d
图2.50 思考题2-7图
2-8 图2-51中电路均为CMOS电路,为实现表达式的逻辑功能,各电路在连接上有何错误?如何改正?
a
b
c
d
图2.51 思考题2-8图
习题
2-1 写出图2.52a~图2.52d所示电路中~的输出逻辑表达式,并对应图2.52e的给定波形画出各个输出信号的波形。
a
b
c
d
e
图2.52 习题2-1图
2-2 与非门、或非门有多余输入端时,应怎样连接?
2-3 指出图2.53中各TTL门电路的输出状态。
图2.53 习题2-3图
2-4 指出图2.54中各CMOS门电路的输出状态。
图2.54 习题2-4图
2-5 电路如图2.55所示,已知TTL与非门的电路参数,,,,试求该门电路的扇出系数。
2-6 电路如图2.56所示,两个OC门线与后,驱动六个普通TTL与非门。门、的输出电流、,输出电压、,门~的输入电流、,输入电压、,试估算的取值范围。
图2.55 习题2-5图
图2.56 习题2-6图
2-7 四输入TTL与非门7420的高电平输出电流IOH=400,低电平输出电流IOL=16mA,高电平输入电流IIH=40,低电平输入电流IIL=1.6mA,其电路如图2.57所示。试求其扇出系数NO。
a b
图2.57 习题2-7图
2-8 在图2.58所示电路中,输入端A的波形已经给出,要求:
1 写出F的逻辑函数式。
2 若考虑与非门的平均传输延迟时间tpd=50ns,试画出F的波形。
图2.58 习题2-8图
2-9 电路如图2.59所示,已知G1和G2均为TTL门电路,为使C=1时,F=,试确定R的阻值。
图2.59 习题2-9图
2-10 指出在图2.60所示各电路中,能实现的电路。
图2.60 习题2-10图
2-11 分析图2.61所示的CMOS电路,哪些能正常工作?哪些不能?写出能正常工作的电路输出信号的逻辑表达式。
a
b
c
d
e
f
图2.61 习题2-11图
2-12 分析图2.62所示电路的逻辑功能,并将结果填入表2.5中。
表2.5 习题2-12表
 
图2.62 习题2-12图
2-13 现有四2输入与非门74LS00和四2输入的或非门74LS02各一块,若想实现和,应如何连接电路?画出逻辑图。
2-14 TTL与非门接成如图2.63所示电路。已知UI为方波,频率f =5MHz,在下述三种情况下,画出UO1、UO2和UO的波形。
1 不考虑门的平均传输时间。
2 相同,=10ns。
3 设=6ns,=9ns,=12ns。
2-15 异或门接成如图2.64所示电路。已知UI为方波,频率f =1MHz,门G1~G3的相同,均为10ns。试画出电路输入UI和UO的波形。
图2.63 习题2-14图
图2.64 习题2-15图
【读物】
神奇的集成电路2--集成电路的发明
一、集成电路的发明
1952年,英国雷达研究所的达默首先提出了集成电路设想:根据电子线路的要求,将电子线路所需要的晶体管、晶体二极管和其他必要元件统统完整地制作集成在单块半导体晶片上,从而构成一个具有预定功能的电子线路。但是由于当时缺乏先进的工业手段,达默的设想无法实现。
1953年,TI公司和仙童公司都宣布研制成第一块集成电路。TI的基尔比用一根硅棒制成相移振荡器。这个振荡器中各个电子元件不需要应用金属导线进行连接,硅棒本身既是构成振荡器所需要的电子元件的材料,又是电流的通路。
1954年,贝尔实验室发明了外延工艺在单晶片上生长一层很薄的单晶层--外延膜。外延工艺很快就被移植到集成电路工艺中。同年,TI公司首先宣布建成了世界上第一条集成电路生产线。1960年,这家公司开始供应51系列集成电路当时称为固体电路。这是几种现在看来再简单不过的逻辑电路,但它却向人们宣告:第三代电子器件开始登上应用舞台。
1958年,TI公司展示了全球第一块集成电路板,这标志着世界从此进入了集成电路的时代。集成电路具有体积小、重量轻、寿命长和可靠性高等优点,同时成本也相对低廉,便于进行大规模生产。
在50多年的时间里,集成电路已经广泛应用于工业、军事、通信和遥控等各个领域。用集成电路来装配电子设备,其装配密度相比晶体管可以提高几十倍至几千倍,设备的稳定工作时间也可以大大提高。
1958年第一块集成电路:TI公司的基尔比,12个器件,锗晶片
二、集成电路的结晶--计算机
第一代:电子管计算机1946-1957年
这一阶段计算机的主要特征是由电子管元件作为基础器件,由光屏管或汞延时电路作为存储器,输入与输出主要采用穿孔卡片或纸带实现,体积大、耗电量大、速度慢、存储容量小、可靠性差、维护困难且价格昂贵。在软件上,通常使用机器语言或者汇编语言来编写应用程序,因此这一时代的计算机主要用于科学计算。
这时的计算机的基本线路采用电子管结构,程序从人工手编的机器指令程序过渡到符号语言。第一代电子计算机是计算工具革命性发展的开始,它所采用的二进位制与程序存储等基本技术思想,奠定了现代电子计算机的技术基础。
第二代:晶体管计算机1958-1964年
20世纪50年代中期,晶体管的出现使计算机生产技术得到了根本性的发展,由晶体管代替电子管作为计算机的基础器件,由磁芯或磁鼓作为存储器,在整体性能上,比第一代计算机有了很大的提高。同时程序语言也相应出现了,如Fortran、Cobol、Algo160等计算机高级语言。晶体管计算机被用于科学计算的同时,也开始在数据处理、过程控制方面得到应用。
在20世纪50年代之前,第一代计算机都采用电子管元件。电子管元件在运行时产生的热量太多,可靠性较差,运算速度不快,价格昂贵,体积庞大,这些都使计算机的发展受到限制。于是,晶体管开始被用作计算机的元件。晶体管不仅能实现电子管的功能,又具有尺寸小、重量轻、寿命长、效率高、发热少、功耗低等优点。使用晶体管后,电子线路的结构大大改观,制造高速电子计算机就更容易实现了。
第三代:中小规模集成电路计算机1965-1971年
随着半导体工艺的发展,集成电路被成功地制造了出来。中小规模集成电路成为计算机的主要部件,主存储器也渐渐过渡到半导体存储器,使计算机的体积更小,计算时的功耗更低。同时,由于减少了焊点和接插件,进一步提高了计算机的可靠性。在软件方面,有了标准化的程序设计语言和人机会话式的Basic语言,计算机的应用领域也进一步扩大。
第四代:大规模和超大规模集成电路计算机1972年至今
随着大规模集成电路的成功制作并用于计算机硬件生产过程,计算机的体积进一步缩小,性能进一步提高。计算机采用集成度更高的大容量半导体存储器作为内存储器,并发展了并行技术和多机系统,出现了精简指令集计算机RISC。其软件系统工程化、理论化,程序设计自动化。微型计算机的应用范围进一步扩大,几乎所有领域都能看到计算机的"身影"。
第五代:智能计算机
1981年,在日本东京召开了第五代计算机研讨会,随后制订出研制第五代计算机的长期计划。第五代计算机的系统设计中考虑了编制知识库管理软件和推理机,机器本身能根据存储的知识进行判断和推理。同时,多媒体技术得到广泛应用,使人们能用语音、图像、视频等更自然的方式与计算机进行信息交互。
智能计算机的主要特征是具备人工智能,能像人一样思维,并且运算速度极快,其硬件系统支持高度并行和推理,软件系统能够处理知识信息。神经网络计算机也称神经元计算机是智能计算机的重要代表。
第六代:生物计算机
半导体硅晶片的电路密集,散热问题难以彻底解决,影响了计算机性能的进一步发挥与突破。研究人员发现,脱氧核糖核酸DNA的双螺旋结构能容纳巨量信息,其存储量相当于半导体芯片的数百万倍。一个蛋白质分子就是存储体,而且阻抗低、能耗小、发热量极低。基于此,利用蛋白质分子制造出基因芯片,研制生物计算机也称分子计算机、基因计算机,已成为当今计算机技术的最前沿。生物计算机比硅晶片计算机在速度、性能上有质的飞跃,被视为极具发展潜力的"第六代计算机"。
被称为第六代计算机的生物计算机的主要原材料是借助生物工程技术特别是蛋白质工程生产的蛋白质分子,以它作为生物集成电路--生物芯片。在生物芯片中,信息以波的形式传递。当波沿着蛋白质分子链传播时,会引起蛋白质分子链单键、双键结构顺序的改变。因此,当一列波传播到分子链的某一部位时,它们就像硅集成电路中的载流子电流的载体称为载流子那样传递信息。由于蛋白质分子比硅芯片上的电子元件要小得多,彼此相距很近,因此,生物元件可小到几十亿分之一米,元件的密集度可达每平方厘米10~100万亿个,甚至1000万亿个。与普通计算机不同的是,由于生物芯片的原材料是蛋白质分子,所以,生物芯片既有自我修复的功能,又可直接与生物活体结合。同时,生物芯片具有发热少、功能低、电路间无信号干扰等优点。

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.