登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書

『簡體書』EDA技术及应用

書城自編碼: 3140583
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 张瑾、李泽光、韩睿
國際書號(ISBN): 9787302488552
出版社: 清华大学出版社
出版日期: 2018-03-01
版次: 1

書度/開本: 16开 釘裝: 平装

售價:HK$ 69.6

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
关键冲突:如何化人际关系危机为合作共赢(原书第2版)
《 关键冲突:如何化人际关系危机为合作共赢(原书第2版) 》

售價:HK$ 86.3
探索清陵五十年
《 探索清陵五十年 》

售價:HK$ 1012.0
定鼎中原之路:从皇太极入关到玄烨亲政
《 定鼎中原之路:从皇太极入关到玄烨亲政 》

售價:HK$ 101.2
财之道丛书·如何让人投资你:股权激励融资全揭秘
《 财之道丛书·如何让人投资你:股权激励融资全揭秘 》

售價:HK$ 101.2
PyTorch深度学习与计算机视觉实践
《 PyTorch深度学习与计算机视觉实践 》

售價:HK$ 90.9
新任经理 100 天实战指南
《 新任经理 100 天实战指南 》

售價:HK$ 89.7
日本百鬼画谱
《 日本百鬼画谱 》

售價:HK$ 71.3
大明拐点 : 天启党争
《 大明拐点 : 天启党争 》

售價:HK$ 66.7

 

編輯推薦:
本书面向工科院校电子、通信、计算机、物联网、自动化等专业本科生,以实现电子系统设计为目标,介绍了电子系统设计中的主流技术EDA技术。读者通过本书的学习,能够掌握EDA技术的基本知识、基于EDA技术的电子系统设计的流程、开发工具与设计方法。
內容簡介:
本书系统地介绍电子系统设计的主流技术——EDA技术。全书共8章,分别为概述、CPLDFPGA结构与工作原理、VHDL结构与要素、QuartusⅡ应用指南、VHDL基本语句、VHDL设计、EDA技术应用实例、EDA技术设计实验项目。本书的目标是使读者掌握应用EDA技术设计电子系统的方法,形成EDA设计能力。
本书避免对不常用语法的说明,安排了大量例题、习题以及应用实例,其中每个设计都提供了完整的程序代码,程序均经过仿真验证。第7章介绍了4个综合系统的设计实例,所有设计完成硬件电路并且测试成功。
本书可作为高等院校计算机类、通信电子类、自动化类以及相关专业的本科或研究生EDA课程教材,也可作为教师以及广大科技工作者的参考用书。
目錄
目录

第1章概述

1.1EDA技术及其发展

1.1.1EDA技术的含义

1.1.2EDA技术的优势

1.1.3EDA技术的发展历程

1.2EDA技术四要素

1.2.1软件开发工具

1.2.2硬件描述语言

1.2.3大规模可编程逻辑器件

1.2.4实验开发系统

1.3EDA流程及工具

1.3.1源程序的编辑和输入

1.3.2逻辑综合和优化

1.3.3目标器件的布线适配

1.3.4目标器件的编程下载

1.3.5设计过程中的仿真

1.3.6硬件仿真硬件测试

1.4IP核

1.4.1软核

1.4.2硬核

1.4.3固核

1.5EDA技术应用展望

1.5.1EDA技术应用于科研和新产品的开发

1.5.2EDA技术应用于专用集成电路的开发

习题

第2章CPLDFPGA结构与工作原理

2.1CPLD

2.1.1CPLD的基本结构

2.1.2CPLD实现逻辑的基本原理

2.2FPGA

2.2.1主要内部资源

2.2.2FPGA的供电机制

2.2.3FPGA的配置

2.2.4器件的标识方法说明

2.3CPLD和FPGA的比较

习题

第3章VHDL结构与要素

3.1VHDL概述

3.1.1一个设计实例

3.1.2设计实例的说明与分析

3.2VHDL结构

3.2.1库、程序包和配置

3.2.2实体

3.2.3结构体

3.3端口模式

3.4数据类型

3.4.1VHDL的预定义数据类型

3.4.2IEEE预定义标准逻辑位与标准逻辑矢量

3.4.3其他预定义标准数据类型

3.4.4自行定义的数据类型

3.5数据对象

3.5.1常量

3.5.2变量

3.5.3信号

3.5.4常量、变量、信号的比较

3.5.5进程中的信号赋值与变量赋值

3.6操作符

3.6.1并置连接操作符

3.6.2逻辑操作符

3.6.3关系操作符

3.6.4算术操作符

3.6.5重载操作符

3.7文字规则

3.7.1基本规则

3.7.2数字型文字

3.7.3字符串型文字

3.7.4标识符

3.7.5下标名及下标段名

3.7.6关键词

习题

第4章QuartusⅡ应用指南

4.1VHDL文本输入设计流程

4.1.1建立工程文件夹和编辑文本

4.1.2创建工程

4.1.3全程编译

4.1.4时序仿真

4.1.5应用网表观察器

4.1.6引脚锁定

4.1.7基于USB Blaster编程下载器的配置文件下载

4.2原理图输入设计方法

4.2.1输入设计项目和存盘

4.2.2将底层设计设置成可调用的元件

4.2.3全加器设计顶层设计

4.2.4原理图设计中总线的应用

习题

第5章VHDL基本语句

5.1顺序语句

5.1.1顺序赋值语句

5.1.2IF语句

5.1.3CASE语句

5.1.4LOOP语句

5.1.5NEXT语句

5.1.6EXIT语句

5.1.7WAIT语句

5.2并行语句

5.2.1并行信号赋值语句

5.2.2进程语句

5.2.3元件例化语句

5.2.4生成语句

习题

第6章VHDL设计

6.1基于CPLDFPGA的数字电路设计中的几个问题

6.1.1建立和保持时间

6.1.2竞争和冒险

6.1.3复位与置位

6.1.4关于延时

6.1.5VHDL语言应用技巧

6.2VHDL描述风格

6.2.1结构描述

6.2.2数据流描述

6.2.3行为描述

6.3组合逻辑电路设计

6.3.1门电路

6.3.2译码器

6.3.3全加器

6.3.4数据选择器

6.3.5比较器

6.3.6总线缓冲器

6.4时序逻辑电路设计

6.4.1触发器

6.4.2数码寄存器和移位寄存器

6.4.3计数器

6.4.4m序列发生器

6.5状态机的VHDL设计

6.5.1状态机设计法的优势

6.5.2状态机的形式

6.5.3状态机的基本结构

6.5.4一般状态机的VHDL设计

6.5.5一个状态机的设计实例

6.6LPM定制

6.6.1定制ROM

6.6.2定制PLL

6.6.3定制RAM

习题

第7章EDA技术应用实例

7.1温湿度自动监控系统设计

7.1.1系统设计方案

7.1.2温湿度数据采集的控制DHT11的驱动

7.1.3BCD十六进制译码器设计

7.1.4液晶显示器的驱动

7.1.5系统时钟信号与液晶使能信号的产生

7.1.6系统顶层设计

7.2电机传动控制模拟系统设计

7.2.1设计方案一

7.2.2设计方案二

7.3自动售货机控制系统设计

7.3.1系统设计要求

7.3.2系统分析

7.3.3秒脉冲的产生

7.3.4自动售货机主控模块设计

7.3.5显示模块设计

7.3.6系统顶层设计

7.4多功能音乐播放器设计

7.4.1系统设计方案

7.4.2分频模块

7.4.3选曲模块设计

7.4.4地址发生器设计

7.4.5定制简谱数据的ROM

7.4.6简谱转换成分频数

7.4.7数控分频和占空比调整设计

7.4.8基于点阵显示屏的曲名显示

7.4.9系统顶层设计

第8章EDA技术设计实验项目

设计一8位数码扫描显示电路

一、
设计目的

二、
设计内容及要求

三、
设计原理

四、
思考

设计二直流电机的PWM控制

一、
设计目的

二、
设计内容及要求

三、
设计原理

四、
思考

设计三基于VHDL状态机的AD采样控制电路设计

一、
设计目的

二、
设计内容及要求

三、
设计原理

四、
思考

设计四硬件乐曲演奏电路及扩展设计音乐播放器

一、
设计目的

二、
设计内容及要求

三、
设计原理

四、
思考

设计五四人抢答电路与八路彩灯控制器

一、
设计目的

二、
设计内容及要求

三、
设计原理

四、
思考

设计六交通灯控制系统设计

一、
设计目的

二、
设计内容及要求

三、
设计原理

四、
思考

参考文献
內容試閱
前言


近年来,随着EDA技术的快速发展和日臻完善,信息电子类高新技术项目的开发与设计越来越广泛地采用EDA技术。EDA技术中,软件设计方案落实到硬件系统的环节由专用工具自动完成,这使设计人员从繁重的手工设计中解脱出来,得以将更多精力投入设计优化、性能提高方面。在基于EDA技术的产品设计中,可以在设计过程中的多个阶段进行仿真,现场编程修改、升级系统设计,在完成硬件系统后,还能对系统中的目标器件进行边界扫描测试,进一步确认设计的正确性,大大降低了设计成本,缩短了设计周期。另外,由于承载设计方案的核心器件是大规模可编程逻辑器件,它的高密度、低功耗、高速稳定的特性造就了以此为核心的电子系统在体积、功耗、速度、稳定性方面优越的性能。同时,EDA技术采用的自顶向下的设计思想和方法使得设计过程中不必因为某个层级出现了问题而将底层的设计全部推翻重做,这样将使复杂设计的成功率更高。
EDA技术的巨大优势与广泛应用使得越来越多的人希望迅速掌握EDA设计的方法和应用技巧。目前各高校信息电子类专业普遍开设EDA课程,旨在培养EDA技术方面的专业人才,然而高校EDA课程大都存在信息量大与学时少的矛盾。本书结合作者多年的教学与科研经验,遵循学生的认知规律,摒弃了在内容阐述上片面追求面面俱到的做法,对EDA技术的内容进行了精简,对内容的顺序安排做出了调整,力求重点突出,言简意赅,便于初学者在较短时间内把握EDA设计要领。
本书的总体编写思路是,保留完成设计必不可少的最基础、最常用、最高效可行的设计方法,删减操作困难、使用烦琐、语义晦涩的语句和流程,使得初学者有信心,易上手。在内容组织上做了如下安排:先介绍EDA技术的概况,使读者对EDA技术有基本了解;
然后简要介绍EDA设计的重要载体CPLDFPGA的内部结构和工作原理,使学习者能够基于CPLDFPGA的特性进行有效设计;
在介绍了编程语言和编程规则后,介绍EDA软件工具操作办法,至此,学习者已经能够独立完成一个简单的设计。在此基础上,介绍VHDL语法与设计技巧,并通过较为复杂的综合系统设计实例使学习者形成并提升设计能力。
本书共8章。第1章概括介绍EDA技术的含义、发展状况、主要内容、设计流程与工具;第2章介绍大规模可编程器件CPLD和FPGA的结构和工作原理,并对二者的性能特点进行对比;第3章介绍VHDL语言的结构与要素,阐述运用VHDL语言应遵循的基本规则;第4章介绍EDA开发软件工具QuartusⅡ的应用方法;
第5章介绍VHDL常用语句,包括顺序语句和并行语句;第6章介绍基本电路设计方法以及应用于较复杂电路设计的两种方法状态机设计法和LPM定制法;第7章详细介绍4个综合性较强的设计项目,包括设计要求、设计方案、源代码、仿真分析与电路RTL图;
第8章为基于EDA课程的实验项目。
全书由张瑾统稿,李泽光校审,第1章、第4~7章由张瑾编写,第2章、第3章由李泽光编写,第8章由韩睿编写。在本书编写过程中,戴文季、侯海鹏、杨腾、李雅丽、许莹红、李学芳、罗钰杰、石娅等同学在程序调试与硬件测试中做了很多工作,同时本书的编写也参考了很多专家与学者的文献,在此深表感谢!
由于编者水平有限,书中难免存在错误和疏漏之处,恳请广大读者和同行专家批评指正!
编者
2017年7月于大连

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.