登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書

『簡體書』Verilog HDL设计实用教程

書城自編碼: 3623923
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 黄海,于斌 主编
國際書號(ISBN): 9787302575733
出版社: 清华大学出版社
出版日期: 2021-04-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 97.4

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
碳交易与碳金融基础(彭玉镏)
《 碳交易与碳金融基础(彭玉镏) 》

售價:HK$ 57.6
当下的骰子--福柯的光与影
《 当下的骰子--福柯的光与影 》

售價:HK$ 105.6
数字经济蓝皮书:全球数字经济竞争力发展报告(2023)
《 数字经济蓝皮书:全球数字经济竞争力发展报告(2023) 》

售價:HK$ 189.6
中国燃料电池汽车产业实践:政策、技术、建议及展望    中国汽车技术研究中心有限公司
《 中国燃料电池汽车产业实践:政策、技术、建议及展望 中国汽车技术研究中心有限公司 》

售價:HK$ 165.6
烘焙星球 阿木的手作烘焙日记
《 烘焙星球 阿木的手作烘焙日记 》

售價:HK$ 105.6
WebGIS原理及开发——基于开源框架的WebGIS技术
《 WebGIS原理及开发——基于开源框架的WebGIS技术 》

售價:HK$ 94.8
舵手证券图书 周期与龙头 A股剑客著 解密龙头股周期性循环 游资操盘手法实战解读
《 舵手证券图书 周期与龙头 A股剑客著 解密龙头股周期性循环 游资操盘手法实战解读 》

售價:HK$ 201.6
华夏衣裳 汉服制作实例教程
《 华夏衣裳 汉服制作实例教程 》

售價:HK$ 178.8

 

建議一齊購買:

+

HK$ 85.6
《 Altium Designer 14电子线路板设计项目教程 》
+

HK$ 63.4
《 办公室工作实务(第4版) 》
+

HK$ 53.7
《 画法几何及机械制图习题集 》
+

HK$ 39.8
《 医学文献检索(全国高职高专临床医学专业“十三五”规划教材) 》
+

HK$ 56.5
《 iOS开发基础教程 》
+

HK$ 123.9
《 中国文学理论批评史教程(修订本) 》
編輯推薦:
(1)精简语法,保留核心,凝练语句,集中介绍和讨论重点内容,去除旁枝末节的干扰。
(2)语法→示例→练习→实验→综合设计,更合理地开展教学,更有效率地提升技能。
(3)配套丰富教学资源,包括完整PPT课件、详细习题解答、多类代码实例、实验参考和指导,教学讲解视频等。
(4)开设交流群,及时沟通、互助和答疑,随时解决学习中的困扰,并可持续获得教学资源更新。
內容簡介:
Verilog HDL是一种广泛应用的硬件描述语言,无论是专用集成电路设计,还是嵌入式FPGA开发,都会使用Verilog HDL语言进行编程。 本书力求让读者快速掌握关键语法,能够在短时间内结合核心语法完成设计,同时注意梯度设置,引导读者从简单模块到复杂设计,逐渐掌握Verilog HDL。全书精简语法,重点突出,语句凝练,具有工程设计的风格。 为了更好地配合学习,书中设有习题和相应解答,并配备了多个实验,所有代码均经过仿真,完整的实例均可供下载,方便读者调试和使用。对于重点和难点,辅以视频教学,更好帮助读者理解和掌握。 本书可作为电子、通信、计算机、自动化及集成电路设计相关专业的本科生的教材,同时也适合对Verilog HDL感兴趣的爱好者或专业人士阅读。
關於作者:
黄海,哈尔滨理工大学软件与微电子学院副院长、教授、硕士生导师。长期从事信息安全、可重构计算、数字信号处理以及集成电路设计等方向的教学和科研工作,承担了“数字信号处理”“信号与系统”“硬件描述语言”等课程教学。近5年来,主持或参与、省级教学综合改革项目8项,其中新工科研究与实践项目1项,黑龙省高等教育教学改革项目3项,发表教改论文5篇,出版书籍1部;主持科研项目10项,其中国家自然基金项目1项,国家重点研发计划项目子课题1项,省级项目5项;发表SCI和EI期刊学术论文7篇;申请专利10项,其中授权发明专利2项、实用新型专利3项。
于斌,哈尔滨理工大学软件与微电子学院教师,于哈尔滨工业大学获学士、硕士学位。长期从事信息安全和集成电路设计等方向的教学和科研工作,承担了“Verilog与数字系统设计”“集成电路验证技术”“计算机组成原理与结构”等课程教学。编写《ModelSim电子系统分析及仿真》(已累计三版)和《Verilog HDL数字系统设计及仿真》(已累计两版)等教材。
目錄
原理篇
1章入门简介及环境准备
1.1Verilog简介
1.1.1Verilog的作用
1.1.2Verilog的发展
1.1.3Verilog的使用
1.1.4Verilog的结构
1.2准备好工作环境
1.2.1仿真软件的准备
1.2.2代码编辑软件的准备
1.2.3其他工具介绍
1.3如何使用本书
2章模块结构与门级建模
2.1Verilog模块的基本结构
2.2语法介绍及示例
2.2.1模块定义
2.2.2端口声明
2.2.3内部资源声明
2.2.4功能描述
2.3门级补充说明
练习题
3章模块的实例化与层次化建模
3.1模块的实例化
3.1.1实例化示例及语法
3.1.2按顺序连接方式
3.1.3按名称连接方式
3.2层次化建模
3.2.1自顶向下的设计
3.2.2层次化名称
3.2.3层次化建模实例
练习题
4章使用仿真软件验证设计
4.1仿真前的准备
4.2完整的仿真流程
4.2.1建立工程
4.2.2添加文件
4.2.3编译与调试
4.2.4启动仿真
4.2.5观察结果
练习题
5章RTL建模语法——assign
5.1assign语句
5.2作数
5.2.1数值
5.2.2参数
5.3按位作符
练习题
6章作符与优先级
6.1作符
6.1.1算术作符
6.1.2逻辑作符
6.1.3关系作符
6.1.4等式作符
6.1.5移位作符
6.1.6拼接作符
6.1.7缩减作符
6.1.8条件作符
6.2作符优先级
练习题
7章RTL建模语法——always
7.1always语句
7.1.1使用示例
7.1.2always语法介绍
7.2顺序块与并行块
7.3if语句
7.4case语句
练习题
8章赋值语句与循环语句
8.1赋值语句
8.1.1阻塞赋值语句
8.1.2非阻塞赋值语句
8.1.3两种赋值语句对比
8.2initial结构
8.3循环语句
8.3.1while循环
8.3.2for循环
8.3.3repeat循环
8.3.4forever循环
练习题
9章任务与函数的使用
9.1任务
9.2函数
9.3常见的系统任务和系统函数
9.3.1显示输出任务
9.3.2仿真控制任务$s和$finish
9.3.3随机函数
9.3.4文件控制任务
9.3.5存储器读取任务
练习题
10章测试模块的编写
10.1测试模块的结构
10.2编译指令
10.2.1`define
……
11章 综合的概念及相关
12章 摩尔形状态机
13章 米利行状态机
14章 时序相关问题
15章 代码范例—基础篇
16章 代码范例—提高篇
17章 代码范例—高级篇
实验篇
习题答案
內容試閱
近年来,集成电路产业蓬勃发展,越来越多的优秀人才投身于集成电路设计行业。在集成电路设计过程中,HDL语言有着重要的应用,无论是专用集成电路设计,还是嵌入式FPGA开发,都要使用HDL语言进行编程,进而通过EDA流程得到终产品。Verilog HDL语言相较而言更容易上手,受到企业界的广泛推荐,也因此走进更多的高校。
作者常年从事Verilog HDL的课程教学,阅读并使用过国内外形形色色的各类教材,其中各有闪光之处; 在教学和科研的过程中,也深感于教材与工程实践的脱节,教材不应是一本语法书,也不应是一本代码集,而应该是一个引导读者从简单代码到复杂设计的领路者。
众所周知,语法本就十分烦琐,所以很多读者本怀着热情投入到Verilog HDL的学习中,但陷入了语法的纠缠,慢慢打起了退堂鼓。Verilog HDL与其他语法略有不同,只需要简单的几个语法就可以完成大多数的电路设计,所以介绍这些语法后就应该及时地让读者亲自实践,在此基础上再逐渐增加验证类的语法,可以让读者在编写一个个程序后体会到HDL语言的乐趣。同时,语法的介绍并不需要事无巨细,就像一个单词一样,并不需要知道它的所有含义,只是知道常用的几个词义就不会妨碍对它的使用——这样的思想其实也适用于Verilog HDL的学习。
本书结合作者的种种心得,对语法做了大幅精简,仅保留设计和验证中的关键语法,力求减少读者的负担,能够让读者全力掌握核心语法。本书的讲解思路和推荐使用方法如下:
第1~4章是部分,这一部分的用意在于快速让读者进入仿真环节,同时介绍Verilog HDL的语法框架,能够让读者动手在电脑上完成一个代码。本部分的图形相对较多,能够帮助读者更好地理解HDL语法的特性,与电路产生关联。
第5~10章是第二部分,本部分是语法核心,会给出语法结构和代码示例,配以大量注释来解释语法的使用方法,当然,是常用的几种使用方法。读者能够读懂代码,清楚语法的使用格式,并完成简单设计即可,同时在上一部分的基础上,可以在工具软件上对自己的代码进行编译和仿真。
第11~14章是第三部分,是设计思想的一个提升,主要介绍综合、状态机和流水线等问题,这些都是在工程实践中会直接面对的问题,但需要一定的代码积累才能够更好地理解,所以这部分的学习可以等到读者已掌握前10章内容后再开始。
第15~17章是第四部分,这是一个灵活的部分,每个范例都配有代码说明,既可以供教师在授课的过程中选用部分代码配合语法讲解,也可以供读者自学时参考调试。为方便选取,对代码的难度做了简单分类。
练习会出现在大多数语法的章节后,并给出参考答案,在学习完语法后及时完成练习,会有效地加深理解和记忆。
对于部分重要的章节,练习所起到的作用也不足以支持对语法的掌握,所以额外配备了10个实验,可以供教师选取。若是自学,推荐在第4章后完成实验1,在第6章后完成实验2,在第7章后完成实验3,在第9章后完成实验4,在第10章后完成实验5,在第13章后完成实验6,在第14章后完成实验7,在第15章后完成实验8~10。
全书配有PPT,可供课堂授课时选用(扫描前言下方二维码下载)。在重点环节还录制了视频,做了精炼的讲解,可以作为辅助教学资源在课后学习(扫描书中二维码可以观看)。
为了更好地帮助读者掌握Verilog HDL,作者开设了交流互助群(QQ: 684948434),有时困扰初学者几天的疑惑,在精通者眼中只用几句话便可说破,希望读者能够借助群内的交流更好地使用本书,更快地掌握Verilog HDL设计。
本书第1~14章和习题部分由哈尔滨理工大学黄海编写,第15~17章和实验部分由哈尔滨理工大学于斌编写。书中的代码都经过了编译和仿真,力求准确,但错漏之处难以避免,敬请广大读者批评指正。读者可通过电子邮件
tupwenyi@163.com与我们交流。

作者
2021年4月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.