登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書

『簡體書』FPGA高手设计实战真经100则

書城自編碼: 2138150
分類:簡體書→大陸圖書→計算機/網絡硬件 外部设备 维修
作者: Evgeni
國際書號(ISBN): 9787121215209
出版社: 电子工业出版社
出版日期: 2013-10-01
版次: 1 印次: 1
頁數/字數: 400/640000
書度/開本: 16开 釘裝: 平装

售價:HK$ 182.9

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
人,为什么需要存在感:罗洛·梅谈死亡焦虑
《 人,为什么需要存在感:罗洛·梅谈死亡焦虑 》

售價:HK$ 81.6
锁国:日本的悲剧
《 锁国:日本的悲剧 》

售價:HK$ 93.6
AI智能写作: 巧用AI大模型 让新媒体变现插上翅膀
《 AI智能写作: 巧用AI大模型 让新媒体变现插上翅膀 》

售價:HK$ 70.8
家庭养育七步法5:理解是青春期的通关密码
《 家庭养育七步法5:理解是青春期的通关密码 》

售價:HK$ 59.8
三体(全三册)
《 三体(全三册) 》

售價:HK$ 113.5
天象之维:汉画像中的天文与人文
《 天象之维:汉画像中的天文与人文 》

售價:HK$ 105.0
妓女与文人
《 妓女与文人 》

售價:HK$ 38.4
舵手证券图书 短线交易大师:工具和策略 24年新修订版 实战验证的交易技术 经典外版书
《 舵手证券图书 短线交易大师:工具和策略 24年新修订版 实战验证的交易技术 经典外版书 》

售價:HK$ 93.6

 

建議一齊購買:

+

HK$ 228.8
《 FPGA设计技巧与案例开发详解 》
+

HK$ 179.4
《 FPGA快速系统原型设计权威指南 》
+

HK$ 127.4
《 FPGA设计:基于团队的最佳实践 》
+

HK$ 209.4
《 Xilinx系列FPGA芯片IP核详解 》
+

HK$ 203.6
《 数字信号处理的FPGA实现(第3版)(配光盘)(国外电子信息经典教材) 》
編輯推薦:
书中没有对基本语法知识进行过多介绍,而是根据作者长期工程实践经验,在FPGA设计工具使用、工程中需要注意的语法要点、设计综合与物理实现、FPGA选型、设计仿真与验证、IP内核的使用、设计优化、布局布线与时序收敛等11个方面总结归纳了100个常见的问题和知识点。
本书作者有着多年的实践经验,并在书中配备了大量的插图、代码实例和脚本。本书涉及的内容都具有很强的针对性,所有讨论的主题都是围绕Xilinx
Virtex-6和Spartan-6 FPGA架构展开的。本书的所有代码都使用Verilog HDL语言。
本书重点面向系统架构师、设计工程师和相关专业的教师与学生,以增进他们的FPGA设计技能。但是无论是新手还是富有经验的工程师,都能够在书中找到实用的信息。
內容簡介:
目前,
FPGA逻辑设计已经成为一个高度专业化的硬件设计领域,它需要设计者熟练地掌握设计工具,深刻理解FPGA的内在结构及灵活运用设计语言,从而能够有效地完成复杂的设计任务。本书旨在系统地和读者分享这些知识与经验,帮助电子工程师和学生提高其FPGA设计技能。读者可以从书中发现有价值的实用设计经验,这些经验在其他相关的教材中较少涉及。本书以Xilinx公司的FPGA和集成开发环境为应用背景,示例以Verilog
HDL为设计语言。
關於作者:
Evgeni Stavinov 在FPGA领域已有十多年的工作经验。在成为SerialTek
LLC公司的硬件架构师之前,他在Xilinx、LeCroy、CATC等公司担任过多种设计职位。Evgeni Stavinov
分别在以色列理工学院和南加州大学获得电气工程学士和硕士学位。除此之外,他还是OutputLogic.com的创办者,该网站旨在为客户提供各种高效率的设计工具。
目錄
第1则 引言
第2则 FPGA发展现状
第3则 FPGA的应用
第4则 FPGA结构
第5则 FPGA项目中包含的任务
第6则 FPGA设计工具概述
第7则 Xilinx的FPGA编译流程
第8则 在命令行模式下使用Xilinx工具
第9则 Xilinx的环境变量
第10则 Xilinx ISE工具版本
第11则 不常用的Xilinx工具
第12则 关于Xilinx工具报告
第13则 命名规范
第14则 Verilog编码风格
第15则 编写用于FPGA的可综合代码
第16则 例化与推译
第17则 Verilog和VHDL的混合使用
第18则 Verilog版本: Verilog?95、 Verilog?2001与SystemVerilog
第19则 HDL代码编辑器
第20则 FPGA时钟资源
第21则 时钟设计方案
第22则 跨时钟域
第23则 时钟同步电路
第24则 使用FIFO
第25则 计数器
第26则 带符号的算术运算
第27则 状态机
第28则 使用Xilinx DSP48原语
第29则 复位方案
第30则 设计移位寄存器
第31则 外部设备接口
第32则 使用查找表和进位链
第33则 流水线设计
第34则 使用嵌入式存储器
第35则 了解FPGA比特流结构
第36则 FPGA配置
第37则 FPGA的重构
第38则 估计设计规模
第39则 估计设计速度
第40则 FPGA的功耗估计
第41则 引脚分配
第42则 热分析
第43则 FPGA的成本估计
第44则 GPGPU与FPGA
第45则 ASIC向FPGA的移植
第46则 ASIC和FPGA的设计差异
第47则 硬件模拟器与原型验证平台
第48则 ASIC移植中的FPGA芯片划分
第49则 时钟系统的移植
第50则 锁存器的移植
第51则 组合逻辑的移植
第52则 不可综合逻辑的移植
第53则 存储器的建模
第54则 高阻态逻辑的移植
第55则 验证移植后的设计
第56则 FPGA设计验证
第57则 FPGA仿真类型
第58则 如何提高仿真工具的性能
第59则 仿真和综合结果不一致的原因
第60则 如何选择仿真工具
第61则 商用仿真工具与开源仿真工具
第62则 测试平台的设计
第63则 仿真的最佳实践
第64则 仿真性能的评估
第65则 基于FPGA架构的处理器
第66则 以太网IP核
第67则 网络应用设计
第68则 IP核的选择
第69则 IP核的保护
第70则 IP核的接口总线
第71则 串行和并行CRC
第72则 扰码器、 伪随机二进制序列(PRBS)和多输入移位寄存器(MISR)
第73则 具有安全应用的核
第74则 存储器控制器
第75则 USB核
第76则 PCI Express核
第77则 其他IP核和功能块
第78则 减少FPGA的编译时间
第79则 设计面积优化: 工具选项
第80则 设计面积优化: 编码风格
第81则 设计功耗优化
第82则 FPGA的设计开发
第83则 PCB检查工具
第84则 协议分析工具和训练器
第85则 FPGA配置中遇到的问题
第86则 使用ChipScope工具
第87则 使用FPGA编辑器
第88则 使用Xilinx系统监视器
第89则 FPGA错误分析
第90则 时序约束
第91则 执行时序分析
第92则 时序收敛流程
第93则 时序收敛: 工具选项
第94则 时序收敛: 约束和编码风格
第95则 FPGA的布局规划艺术
第96则 布局规划存储器和FIFO
第97则 编译管理和持续集成
第98则 Verilog处理和设计流程脚本语言
第99则 报告和设计分析工具
第100则 其他可参考资源
缩略语

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.