登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書

『簡體書』FPGA现代数字系统设计教程——基于Xilinx可编程逻辑器件与Vivado平台

書城自編碼: 3459105
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 孟宪元
國際書號(ISBN): 9787302541097
出版社: 清华大学出版社
出版日期: 2020-01-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 91.1

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
流人系列02:亡狮
《 流人系列02:亡狮 》

售價:HK$ 90.9
希腊小史
《 希腊小史 》

售價:HK$ 112.7
中国古代的谣言与谶语
《 中国古代的谣言与谶语 》

售價:HK$ 112.7
战役图文史:改变世界历史的50场战役 (彩印典藏版)
《 战役图文史:改变世界历史的50场战役 (彩印典藏版) 》

售價:HK$ 147.2
寻路:走向西南联大(西南联大文库)
《 寻路:走向西南联大(西南联大文库) 》

售價:HK$ 66.7
短视频Vlog全流程:镜头脚本+运镜技巧+场景主题+后期剪辑
《 短视频Vlog全流程:镜头脚本+运镜技巧+场景主题+后期剪辑 》

售價:HK$ 89.7
英国小史
《 英国小史 》

售價:HK$ 94.3
影响力原则
《 影响力原则 》

售價:HK$ 78.2

 

編輯推薦:
遵循摩尔定律的发展规律,FPGA技术已经进入到异构多核处理器和异构并行计算的崭新阶段,本书以FPGA的发展阶段讲述器件的架构和特性,以Verilog语言作为硬件描述语言讲述数字系统的设计,保证设计功能通过仿真验证的正确性,在Vivado设计套件中通过RTL分析、综合和布局布线的实现等进程达到时序收敛,性能上满足设计要求,本书也介绍了有关同步设计的技巧和要点,高级综合等特性。DSP系统和嵌入式系统的设计流程和方法也作了介绍,*后以多个设计实例对前述的设计方法作了详尽的说明。
? 内容全面 涵盖FPGA及Vivado的基本功能,及其在数字系统中的多种设计流程。
? 技术前沿 基于当前的Vivado设计套件版本,论述了FPGA主流设计技术。
? 易于实践 全书结合大量实例论述,图文并茂、操作性强,便于快速动手实践。
內容簡介:
本书系统介绍了Xilinx FPGA及Vivado设计套件的特性和7系列全面可编程FPGA器件的架构,如何由Vivado套件创建复杂数字系统设计项目,仿真系统功能,RTL分析产生网表文件,性能要求的时序约束及综合,布局布线及静态时序分析和生成位流文件等全部设计过程。
關於作者:
孟宪元 清华大学电子工程系教授,长期从事EDA相关技术和课程教学和科研工作,具有超过20年的FPGA技术研究和项目开发经历,亲历了FPGA技术的发展历程,积累了丰富实践经验,曾出版《新一代FPGA设计套件Vivado应用指南》等畅销教材。
目錄
目录


第1章现代数字系统设计概论
1.1概述
1.2数字系统的层次化结构
1.2.1开关电路级的基础CMOS反相器
1.2.2逻辑级的门电路
1.2.3寄存器传输级的有限状态机
1.2.4数字系统的系统级构成
1.2.5复杂系统的算法级设计
1.3数字系统设计的描述方法
1.3.1原理图设计
1.3.2程序设计法
1.3.3IP模块的使用
1.3.4基于模型的设计技术
1.3.5高层次综合HLS设计
1.3.6脚本设计技术
1.4IP技术
1.4.1IP知识产权模块
1.4.2IP模块的种类与应用
1.4.3片上系统和IP核复用
1.5全可编程FPGASoC实现智能化系统
1.5.1软件智能化和硬件最佳化
1.5.2在线可重构技术
1.5.3可重配置加速堆栈
1.5.4自适应计算加速平台
本章小结
习题
第2章可编程逻辑器件
2.1概述
2.1.1可编程逻辑器件概述
2.1.2可编程逻辑器件分类
2.2CPLD的结构和工作原理
2.2.1简单可编程逻辑器件原理
2.2.2CPLD的结构和工作原理
2.3FPGA的结构和工作原理
2.3.1SRAM查找表类型
2.3.2反熔丝多路开关类型
2.4逻辑级FPGA的结构和工作原理
2.4.1可编程逻辑
2.4.2可编程互连线
2.4.3可编程IO
2.5系统级FPGA的结构和工作原理
2.5.1片上存储器及接口
2.5.2数字时钟管理
2.5.3时钟资源
2.5.4系统级IO
2.6平台级FPGA的结构和工作原理
2.6.1DSP模块
2.6.2高速串行接口
2.7全可编程FPGA的特性和结构
2.7.1采用统一的7系列架构
2.7.2高性能和低功耗结合的工艺
2.8ASIC架构的UltraScale系列
2.8.1UltraScale架构
2.8.2SSI互连技术
2.9FPGA的配置
2.9.1编程原理简介
2.9.2编程模式
2.9.3典型的配置电路
2.9.4编程流程
2.9.5部分重配置
本章小结
习题
第3章Verilog硬件描述语言
3.1硬件描述语言概述
3.1.1硬件描述语言特点
3.1.2层次化设计
3.2Verilog HDL程序的基本结构
3.2.1模块结构分析
3.2.2模块的实例化
3.3Verilog HDL词法、数据类型和运算符
3.3.1词法约定
3.3.2数据类型
3.3.3运算符
3.4Verilog HDL行为语句
3.4.1赋值语句
3.4.2顺序块和并行块语句
3.4.3结构说明语句
3.4.4条件语句
3.4.5循环语句
3.4.6系统任务和系统函数
3.4.7编译预处理命令
3.4.8Verilog HDL可综合设计
3.5Verilog HDL设计举例
3.5.1组合电路设计
3.5.2时序电路设计
3.5.3数字系统设计
3.5.4数码管扫描显示电路
3.5.5LED通用异步收发电路设计
3.6Testbench文件与设计
本章小结
习题
第4章Vivado设计工具
4.1Vivado工具概述
4.1.1单一的、共享的、可扩展的数据模型
4.1.2标准化XDC约束文件SDC
4.1.3多维度分析布局器
4.1.4IP封装器、集成器和目录
4.1.5Vivado HLS
4.1.6其他特性
4.1.7TCL特性
4.1.8Vivado按键流程执行设计项目
4.2Vivado设计流程
4.2.1创建工程
4.2.2功能仿真
4.2.3RTL级分析
4.2.4综合设计
4.2.5分配引脚和时序
4.2.6设计实现
4.2.7生成bit文件
4.2.8下载
4.3产生IP集成器子系统设计
4.3.1产生IP集成器模块设计
4.3.2定制IP
4.3.3完成子系统设计
4.3.4产生IP输出产品
4.3.5例示IP到设计中
4.4硬件诊断
4.4.1设计诊断概述
4.4.2Vivado逻辑诊断IP核
4.4.3HDL例示法添加ILA核
4.4.4系统内诊断uart_led设计
4.4.5网表插入法添加诊断核
4.4.6添加VIO诊断核
本章小结
习题
第5章数字系统的高级设计与综合
5.1Verilog编程风格
5.1.1逻辑推理
5.1.2陷阱
5.1.3设计组织
5.1.4针对Xilinx FPGA的HDL编码
5.2综合优化
5.2.1速度与面积
5.2.2资源共享
5.2.3流水线、重新定时和寄存器平衡
5.2.4有限状态机编译
5.3数字系统的同步设计
5.3.1同步设计基本原理
5.3.2建立和保持时间
5.3.3时序例外约束
5.3.4同步设计中的异步问题
5.4数字系统的综合
5.4.1数字系统综合概述
5.4.2系统级综合
5.4.3高级综合
5.4.4寄存器传输级综合
5.4.5逻辑级综合
本章小结
习题
第6章综合设计实例
6.1实例一: 键盘输入电路设计
6.1.1PS2通信协议
6.1.2PS2接口设计
6.1.3键盘输入程序
6.2实例二: VGA显示电路设计
6.2.1设计任务
6.2.2原理分析与系统方案
6.2.3彩条显示
6.2.4Logo的VGA显示
6.3实例三: 俄罗斯方块游戏设计
6.3.1系统组成
6.3.2数据通道
6.3.3控制单元
6.3.4按键输入处理模块
6.3.5显示部分
6.4实例四: 五子棋人机对弈游戏设计
6.4.1gobang_top
6.4.2gobang_datapath
6.4.3gobang_logic
6.4.4gobang_strategy
6.4.5score_calculater
6.4.6win_checker
6.4.7输出显示
习题
附录AEGO1用户手册
A.1概述
A.2FPGA
A.3板卡供电
A.4系统时钟
A.5FPGA配置
A.6通用IO接口
A.6.1按键
A.6.2开关
A.6.3LED
A.6.4七段数码管
A.7VGA接口
A.8音频接口
A.9USBUARTJTAG接口
A.10USB转PS2接口
A.11SRAM接口
A.12模拟电压输入
A.13DAC输出接口
A.14蓝牙模块
A.15通用扩展IO
附录BVerilog HDLIEEE 13642001关键词表及说明
参考文献
內容試閱
前言
由Xilinx公司发明的FPGA技术,按照摩尔定律已经历了30多年的发展历程。它的可编程特性使其成为电子产品设计和验证不可或缺的手段,在数字信号处理DSP系统和嵌入式系统等设计领域也得到日益广泛的应用。为了适应迅速发展的技术进步,培养符合新时代要求的合格人才,近年来大学教育利用FPGA的可编程特性进行了广泛的探索,也取得了令人瞩目的成果,例如得到教育部认可的口袋实验板,以及贯穿式教育和工程实训等教改措施。
根据近期在多个大学进行数字系统和嵌入式系统工程实训的经历,结合国家对新型人才培养的要求,以及根据读者对利用FPGA设计现代数字系统的需求,选取目前流行的FPGA器件、设计工具和设计语言编写了此书。作为教程本书选用Xilinx公司7系列全可编程FPGA,2017x最新版本的Vivado设计工具,以及在许多大学得到广泛应用的依元素公司开发的EGO1开发板,作为学生的口袋实验板随身携带,不仅可以使设计项目在FPGA硬件上运行,也可以通过实验验证、理解和运用所学知识。
Verilog HDL是设计者们喜爱的语言,与VHDL相比,更节省代码,更接近C语言,适合有C语言基础的读者学习,因此被业界广泛使用,也为本书所采用。
本书是在《FPGA现代数字系统设计》基础上,结合高校教学需求改编而成。全书共6章。
第1章介绍现代数字系统设计概论,包括现代数字系统层次化的设计概念、多种描述方法和IP、SoC概念。本章使初学者对现代数字系统设计有一个整体的认识。
第2章介绍历代FPGA器件的结构特点、硬件资源和配置方法,为进一步的设计和优化奠定必要的器件基础。
第3章介绍Verilog HDL的基本语法和设计实例,是全书的设计语言基础教程。
第4章介绍Vivado工具编程、仿真、综合和实现的设计流程,以及测试诊断工具和IP集成工具等。
第5章比较深入地介绍了高级设计与综合技术,包括Verilog HDL的编程风格、综合优化、同步设计、高级综合与系统综合。本章介绍了较复杂数字系统的重要设计知识与设计技巧。
第6章针对通常的数字系统设计给出四个综合设计实例。
为了方便读者,本书编写了Verilog HDL手册、EGO1开发板资料和参考文献供查阅。
本书具有如下三个特点:
1. 内容完整,包含设计理论、器件知识、设计语言、基本设计工具,还包括高级设计与综合技术和综合设计实例。为初学者提供了完整的学习内容和丰富的参考资料。
2. 注重读者的认识规律,由浅入深,循序渐进,既有深入的内容,又使初学者能很快入门; 既有数字技术的理论知识,又有指导实践的实验实例。
3. 书中涉及的所有程序均已经过调试,在教学过程中可以放心地使用和验证。在应用时,请注意读者的开发板的系统时钟频率和复位信号极性可能不一致带来的问题。
当然,现代数字系统设计涉及广泛和深入的知识,不可能在一门课程中全部解决。我们希望能帮助初学者尽快入门,更深入的研究和专门的设计知识可在后续课程和设计实践中不断积累和完善。现代数字系统设计对理论和实践的综合要求都是比较高的,建议使用本书的老师在介绍基本的设计基础后,尽量安排学生通过实验来发现和解决更多的问题,以提高实践能力。

由于FPGA技术发展迅速,设计工具的版本每年都有若干次更新,作者水平有限,编写时间仓促,书中的疏漏之处请读者予以指正。
感谢清华大学出版社对本书的出版给予的关心和支持!
孟宪元
2019年10月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.