登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書

『簡體書』Xilinx FPGA工程师成长手记

書城自編碼: 4024878
分類:簡體書→大陸圖書→計算機/網絡程序設計
作者: 寇强
國際書號(ISBN): 9787302666950
出版社: 清华大学出版社
出版日期: 2024-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 78.2

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
论法拉比与迈蒙尼德:施特劳斯讲演与论文集:卷三
《 论法拉比与迈蒙尼德:施特劳斯讲演与论文集:卷三 》

售價:HK$ 109.3
实验之火:锻造英格兰炼金术(1300—1700年)
《 实验之火:锻造英格兰炼金术(1300—1700年) 》

售價:HK$ 124.2
高功率激光推进
《 高功率激光推进 》

售價:HK$ 110.4
藩镇时代的政治与社会
《 藩镇时代的政治与社会 》

售價:HK$ 112.7
电网设备无人机自动机场建设与应用
《 电网设备无人机自动机场建设与应用 》

售價:HK$ 89.7
中国沿海的贸易与外交:通商口岸的开埠:1842-1854.下
《 中国沿海的贸易与外交:通商口岸的开埠:1842-1854.下 》

售價:HK$ 147.2
甲骨文丛书·繁荣与衰退:中国市场经济(1000~1500年)
《 甲骨文丛书·繁荣与衰退:中国市场经济(1000~1500年) 》

售價:HK$ 147.2
越南汉词整理与研究
《 越南汉词整理与研究 》

售價:HK$ 112.7

 

編輯推薦:
资深硬件工程师近10年FPGA项目开发经验的总结。
理论结合大量实例,详解Xilinx FPGA的设计方法与技巧。
提供练习题、教学课件(PPT),方便学习与教学。
内容丰富:首先从FPGA的理论知识入手,详细介绍FPGA芯片设计的背景、常用专业术语、硬件描述语言的基本语法;然后介绍FPGA的验证方法和知识产权应用;最后详细介绍低速接口和高速接口设计实例,并总结FPGA的开发技巧。
实例丰富:讲解中穿插多个典型实例,带领读者上手实践,并加深对FPGA设计与验证技术的理解,进而快速掌握FPGA的设计流程,上手开发实际产品和项目。
图文并茂:讲解中给出大量的示意图,帮助读者高效、直观地理解FPGA的各种概念和实现原理。
注重技巧:总结大量的开发技巧,让读者少走很多弯路,从而加速新产品的上市,甚至提前交付项目。
源码实用:本书涉及的实例源码大多来源于实际项目,其注释丰富,易于阅读,读者稍加修改即可直接用于自己的项目。
提供习题:每章都提供习题,帮助读者巩固和提高所学的知识。
配教学PPT:提供配套教学PPT,方便相关院校的授课教师教学时使用。
內容簡介:
《Xilinx FPGA工程师成长手记》以Xilinx公司的FPGA为开发平台,以Verilog HDL、System Verilog、VHDL和Vivado为开发工具,详细介绍FPGA常用接口的实现方法,并通过大量实例,分析FPGA实现过程中的具体技术细节。《Xilinx FPGA工程师成长手记》提供相关实例的源码文件和配套教学PPT,以方便读者学习和相关高校教学。
《Xilinx FPGA工程师成长手记》共10章,分为2篇。第1篇Xilinx FPGA基础知识,包括FPGA概述、FPGA的基本理论、FPGA的硬件描述语言和FPGA功能验证;第2篇Xilinx FPGA逻辑设计,包括FPGA的知识产权、FPGA代码封装、FPGA低速接口设计、FPGA高速接口设计、FPGA硬件调试和FPGA开发技巧。
《Xilinx FPGA工程师成长手记》内容充实,实例丰富,非常适合FPGA开发和接口设计领域的入门读者阅读,也适合硬件设计领域的工程师和科研人员阅读,还适合作为相关院校电子信息等专业本科生和研究生的教材。
關於作者:
寇强,大学毕业后一直从事基于FPGA逻辑设计的产品和项目开发,积累了大量的经验。核心能力表现为FPGA接口开发、FPGA跨时钟域问题解决,以及时序违规处理和逻辑系统核心Bug排除等。熟悉Intel FPGA和Xilinx FPGA芯片开发技术,尤其擅长Xilinx FPGA逻辑设计。从事过视频LZW无损压缩算法开发和列车实时以太网交换机时间同步机制开发,开发的产品应用于无人机、电梯和边缘计算等多个领域。申请了多个基于FPGA实现数据采集与传输系统的发明专利。平时乐于分享技术经验。
目錄
第1篇 Xilinx FPGA基础知识
第1章 FPGA概述 2
1.1 什么是FPGA 2
1.2 FPGA芯片厂商 2
1.2.1 国外FPGA厂商简介 2
1.2.2 国内FPGA厂商简介 3
1.3 FPGA的应用领域 4
1.3.1 数据采集领域 4
1.3.2 逻辑接口领域 5
1.3.3 数字信号处理领域 5
1.3.4 其他领域 5
1.4 FPGA的设计流程 5
1.4.1 需求分析 6
1.4.2 设计输入 6
1.4.3 功能仿真 7
1.4.4 逻辑综合 7
1.4.5 布局布线 7
1.4.6 芯片编程与调试 8
1.4.7 文档和代码管理 8
1.5 FPGA的人才需求 9
1.6 FPGA工程师的基本技能 10
1.7 本章习题 11
第2章 FPGA的基本理论 12
2.1 FPGA时钟 12
2.1.1 时钟模型 12
2.1.2 时钟抖动 12
2.1.3 时钟偏斜 13
2.1.4 时钟设计 13
2.2 FPGA复位 14
2.2.1 复位的概念 14
2.2.2 复位方式 14
2.2.3 复位设计 15
2.3 FPGA时序 15
2.3.1 时序的概念 15
2.3.2 建立时间 16
2.3.3 保持时间 17
2.3.4 亚稳态 17
2.4 FPGA异步时钟域 17
2.4.1 异步时钟域的概念 17
2.4.2 异步时钟域数据转换策略 18
2.5 FPGA约束 23
2.6 FPGA的专业术语 24
2.7 本章习题 25
第3章 FPGA的硬件描述语言 26
3.1 硬件描述语言概述 26
3.1.1 硬件描述语言简介 26
3.1.2 硬件描述语言的类型 27
3.2 VHDL语法基础 27
3.2.1 VHDL模块结构 27
3.2.2 VHDL的基本语法 29
3.2.3 VHDL模块调用 29
3.3 Verilog HDL语法基础 30
3.3.1 Verilog HDL模块结构 30
3.3.2 Verilog HDL的基本语法 31
3.3.3 Verilog HDL模块调用 36
3.4 System Verilog语法基础 37
3.4.1 System Verilog模块结构 37
3.4.2 System Verilog的基本语法 38
3.4.3 System Verilog模块调用 38
3.5 FPGA设计规范及编程技巧 39
3.5.1 FPGA设计规范 39
3.5.2 FPGA设计注释 41
3.5.3 FPGA设计技巧 41
3.6 本章习题 42
第4章 FPGA功能验证 43
4.1 验证概述 43
4.1.1 验证定义 43
4.1.2 验证计划 44
4.1.3 验证方法 44
4.1.4 验证的作用 44
4.2 编写仿真激励 45
4.2.1 编写测试激励的流程 45
4.2.2 编写时钟测试激励 45
4.2.3 编写复位测试激励 47
4.3 系统函数 48
4.3.1 常用的系统函数 48
4.3.2 编写测试激励实例 49
4.4 验证软件 51
4.4.1 仿真软件 51
4.4.2 仿真过程 51
4.4.3 仿真实例 52
4.5 验证技巧 61
4.5.1 变量初始化 61
4.5.2 模块封装 62
4.5.3 回环测试 63
4.5.4 计数器 63
4.5.5 读文件和写文件 64
4.5.6 避免出现蓝线 65
4.6 本章习题 66
第2篇 Xilinx FPGA逻辑设计
第5章 FPGA的知识产权 68
5.1 MMCM IP核设计 68
5.1.1 MMCM简介 68
5.1.2 MMCM IP核定制 68
5.1.3 MMCM IP核仿真 73
5.2 FIFO IP核设计 75
5.2.1 FIFO简介 75
5.2.2 FIFO IP核定制 75
5.2.3 FIFO IP核仿真 80
5.3 RAM IP核设计 83
5.3.1 RAM简介 83
5.3.2 RAM IP核定制 83
5.3.3 RAM IP核仿真 88
5.4 Counter IP核设计 91
5.4.1 Counter简介 91
5.4.2 Counter IP核定制 91
5.4.3 Counter IP核仿真 94
5.5 本章习题 96
第6章 FPGA代码封装 97
6.1 IP核封装 97
6.1.1 IP核简介 97
6.1.2 自定义IP核封装 98
6.1.3 自定义IP核验证 107
6.2 网表封装 108
6.2.1 网表简介 108
6.2.2 自定义网表封装 108
6.2.3 自定义网表验证 116
6.3 本章习题 121
第7章 FPGA低速接口设计 122
7.1 SPI逻辑设计 122
7.1.1 SPI总线概述 122
7.1.2 SPI实例设计 123
7.1.3 SPI实例验证 126
7.1.4 SPI硬件调试 127
7.2 UART逻辑设计 128
7.2.1 UART总线概述 128
7.2.2 UART实例设计 129
7.2.3 UART实例验证 133
7.2.4 UART硬件调试 135
7.3 IIC逻辑设计 136
7.3.1 IIC总线概述 136
7.3.2 IIC实例设计 139
7.3.3 IIC仿真验证 143
7.3.4 IIC硬件调试 144
7.4 CAN逻辑设计 145
7.4.1 CAN总线概述 145
7.4.2 CAN实例设计 147
7.4.3 CAN逻辑验证 151
7.4.4 CAN硬件调试 153
7.5 本章习题 155
第8章 FPGA高速接口设计 156
8.1 DDR3接口设计 156
8.1.1 存储器简介 156
8.1.2 DDR3 IP核简介 158
8.1.3 DDR3读写功能设计 160
8.1.4 DDR3读写功能逻辑仿真 172
8.1.5 DDR3读写功能硬件调试 174
8.2 PCIE接口设计 182
8.2.1 PCIE简介 183
8.2.2 PCIE IP核简介 184
8.2.3 PCIE通信功能设计 185
8.2.4 PCIE通信功能仿真 191
8.2.5 PCIE接口硬件调试 195
8.3 本章习题 201
第9章 FPGA硬件调试 202
9.1 FPGA硬件调试概述 202
9.1.1 FPGA硬件调试简介 202
9.1.2 FPGA调试IP核 202
9.2 闪烁灯硬件调试 203
9.2.1 闪烁灯系统设计 203
9.2.2 闪烁灯程序设计 204
9.2.3 闪烁灯硬件调试 205
9.3 本章习题 219
第10章 FPGA开发技巧 220
10.1 FPGA时钟管理 220
10.1.1 使用时钟IP核设计时钟 220
10.1.2 使用硬件描述语言设计时钟 222
10.1.3 使用时钟原语设计时钟 223
10.2 FPGA复位设计 225
10.2.1 使用时钟IP核锁存信号进行复位 226
10.2.2 使用硬件描述语言进行复位 226
10.3 FPGA时钟域处理 227
10.3.1 使用异步FIFO处理跨时钟域数据实例 227
10.3.2 使用双端口RAM处理跨时钟域数据实例 228
10.3.3 使用延迟法处理跨时钟域数据实例 230
10.3.4 使用应答机制处理跨时钟域数据实例 231
10.3.5 采用格雷码转换处理跨时钟域数据实例 233
10.4 FPGA通用模块设计 236
10.4.1 接口通用模块设计 236
10.4.2 内部逻辑通用模块设计 237
10.4.3 仿真通用模块设计 237
10.4.4 调试通用模块设计 238
10.5 FPGA开发检查表 238
10.5.1 FPGA需求分析 238
10.5.2 FPGA方案设计 240
10.5.3 FPGA设计输入 240
10.5.4 FPGA功能仿真 243
10.5.5 FPGA硬件调试 244
10.6 本章习题 245
Xilinx FPGA工程师成长手记
  
目录
  
·XII·
  
  
·XI·
內容試閱
FPGA(Field Programmable Gate Array,现场可编程门阵列)是在PAL和GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。最初,FPGA主要应用于传统领域,随着信息产业与微电子技术的发展,其发展速度越来越快。尤其近几年,FPGA的发展非常迅猛,其影响力越来越大。例如,比特币挖矿、数据采集、人工智能等领域都可以看到FPGA的身影。如今,FPGA的应用遍及航空航天、汽车、医疗和工业控制等领域。
  2010年,笔者在大学导师那里第一次接触FPGA,那时感觉FPGA很神秘。正是这种神秘感,吸引笔者进入了该行业,开始了FPGA编程之旅。笔者大学毕业至今一直从事FPGA逻辑设计与验证的相关工作,这些工作经历使得笔者积累了丰富的项目开发经验,如今已在FPGA接口应用领域取得了一些成就。
  基于上述经历,笔者想通过一本书将自己多年以来积累的FPGA设计心得和项目开发经验分享给需要的人。具体而言,笔者编写本书的主要原因有以下4点:
* 行业需求使然。FPGA行业发展迅猛,应用领域广泛,人才缺口很大,而图书市场上缺少通俗易懂且实用性强的能带领读者快速上手的图书。
* 笔者一直在思考,有没有一种低门槛、易消化、易掌握和易上手的方法,让初学者可以快速掌握FPGA技术,笔者想通过本书来尝试解决这个问题。
* 笔者想通过本书分享自己多年积累的FPGA设计心得和项目开发经验,让FPGA学习人员少走弯路,能更快地将所学知识应用于产品和项目开发,从而加速产品和项目的上市。
* 笔者想通过一本书,让行业内入职的新人可以通过自学快速掌握FPGA,从而节省大量的培训时间和成本。
  本书以一位FPGA从业者的身份,详细介绍FPGA产品或项目开发所需要的基本技能。本书首先从FPGA的理论知识讲起,详细介绍FPGA芯片的发展背景、常用专业术语和硬件描述语言的基本语法,然后介绍FPGA的验证方法和知识产权应用,最后结合实例详细介绍低速接口设计与高速接口设计,并总结FPGA的开发技巧,以加深读者对FPGA设计与验证技术的理解。通过阅读本书,读者可以系统地掌握FPGA设计的精髓和流程,并达到实际上手开发产品或项目的水平。
本书特色
* 内容丰富:首先从FPGA的理论知识入手,详细介绍FPGA芯片设计的背景、常用专业术语、硬件描述语言的基本语法;然后介绍FPGA的验证方法和知识产权应用;最后详细介绍低速接口和高速接口设计实例,并总结FPGA的开发技巧。
* 实例丰富:在讲解中穿插多个典型实例,带领读者上手实践,并加深对?FPGA设计与验证技术的理解,进而快速掌握FPGA的开发流程,上手开发实际产品和项目。
* 图文并茂:在讲解中给出大量的示意图,帮助读者高效、直观地理解FPGA的各种概念和实现原理。
* 注重技巧:总结大量的开发技巧,让读者少走很多弯路,从而加速新产品的上市,甚至提前交付项目。
* 源码实用:本书涉及的实例源码大多来源于实际项目,并给出详细的注释,读者对这些源码稍加修改即可直接用于实际项目。
* 提供习题:每章都提供习题,帮助读者巩固和提高所学的知识。
* 配教学PPT:提供配套教学PPT,方便相关院校的授课教师教学时使用。
本书内容
  第1篇 Xilinx FPGA基础知识
  本篇涵盖第1~4章,主要介绍FPGA的概念、设计流程、基本理论、描述语言和功能验证等内容。通过学习本篇内容,读者可以快速掌握FPGA设计的基础知识。
  第1章FPGA概述,主要介绍FPGA的芯片厂商、应用领域、设计流程,以及FPGA工程师需要掌握的基本技能。通过学习本章内容,读者可以对FPGA有个大致的了解。
  第2章FPGA的基本理论,主要介绍FPGA的时钟、复位、时序、异步时钟域和约束等相关知识。通过学习本章内容,读者可以系统地了解FPGA的基本理论知识。这些知识在FPGA设计中经常用到,其伴随FPGA设计的整个过程,是FPGA逻辑设计不可缺少的一部分。
  第3章FPGA的硬件描述语言,主要介绍VHDL、Verilog HDL和System Verilog语言的语法基础,以及FPGA设计规范与编程技巧。通过学习本章内容,读者可以快速掌握FPGA的常用硬件描述语言,以便进行项目开发。
  第4章FPGA功能验证,主要介绍验证的基本概念、仿真激励的编写、常用系统函数任务的调用,以及Vivado仿真软件的使用等。通过学习本章内容,读者可以学会验证自己编写的模块是否满足功能要求。
  第2篇 Xilinx FPGA逻辑设计
  本篇涵盖第5~10章,主要介绍FPGA的常用IP核设计、用户代码封装、低速接口设计、高速接口设计、硬件调试和开发技巧等内容。FPGA在逻辑接口领域的应用非常广泛。例如,在实际产品的设计中,很多情况下需要与PC(个人计算机)进行数据通信,将采集的数据发送给PC处理,或者将处理后的结果传送给PC进行显示等。通过学习本篇内容,读者可以快速掌握FPGA接口设计的核心基础知识,从而为实际项目开发打下坚实的基础。
  第5章FPGA的知识产权,主要介绍MMCM、FIFO、RAM、Counter等常用IP核的设计与应用。通过学习本章内容,读者可以快速掌握常用IP核的设计方法。
  第6章FPGA代码封装,主要介绍用户代码的IP核封装和网表文件封装的基本流程。通过学习本章内容,读者可以快速掌握FPGA代码封装的方法,为代码加密设计打下基础。
  第7章FPGA低速接口设计,主要介绍SPI、UART、IIC和CAN这4种总线的逻辑设计方法。通过学习本章内容,读者可以掌握低速接口设计的方法,包括方案设计、代码设计、功能仿真和硬件调试。
  第8章FPGA高速接口设计,主要介绍DDR3和PCIE这两种接口的设计方法。通过学习本章内容,读者可以快速掌握高速接口的设计方法,包括方案设计、代码设计、功能仿真与硬件调试。
  第9章FPGA硬件调试,基于Vivado软件环境,以一个简单的闪烁灯为例,介绍其FPGA硬件调试流程。通过学习本章内容,读者可以简单地了解FPGA的硬件调试流程。对于一些复杂的FPGA设计,可以通过本章介绍的调试方法进行硬件逻辑功能的调试。
  第10章FPGA开发技巧,主要介绍笔者基于Xilinx FPGA进行逻辑设计与验证过程中总结的一些FPGA项目开发技巧与心得体会,包括FPGA时钟管理、FPGA复位设计、FPGA时钟域处理、FPGA通用模块设计和FPGA检查表开发。通过学习本章内容,读者可以掌握FPGA的开发技巧,从而少走弯路,提高FPGA产品设计的效率。
读者对象
* FPGA接口设计入门人员;
* FPGA接口设计从业人员;
* 硬件设计工程师;
* 硬件设计科研人员;
* 高校电子信息等相关专业的学生。
配套资源获取
  本书提供实例源码文件、习题参考答案和教学PPT等配套资源。这些资源有两种获取方式:一是关注微信公众号“方大卓越”,回复数字“26”获取下载链接;二是在清华大学出版社网站(www.tup.com.cn)上搜索到本书,然后在本书页面上找到“资源下载”栏目,单击“网络资源”或“课件下载”按钮进行下载。
致谢
  在编写本书的过程中,笔者查阅了大量的资料,参考了Xilinx公司官方网站(http://www.xilinx.com)提供的英文资料以及Vivado提供的IP核数据手册与帮助文档,在此对资料的作者和提供者表示衷心的感谢!另外也要感谢北京至芯开源科技有限责任公司总经理雷斌、FPGA资深工程师党亚鹏、FPGA高级工程师宋哲和系统架构工程师郝焕妮,他们4位为本书提出了宝贵的意见和建议,在此表示衷心的感谢!此外,感谢妻子全力照顾家庭,为笔者编写本书腾出了大量的时间。
售后服务
  由于笔者水平所限,书中可能还存在疏漏与不足之处,恳请广大读者批评与指正。同时也欢迎广大读者就FPGA设计和验证等相关技术与笔者交流。
  联系邮箱:bookservice2008@163.com。
  
  寇强
  2024年6月于西安
Xilinx FPGA工程师成长手记
  
前言
  
·VI·
  
  
·V·

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.